From 04562b57dbbaa44efca5b3abff62720821879a30 Mon Sep 17 00:00:00 2001 From: Karlsson Winkels Date: Wed, 16 Oct 2024 18:14:21 +0200 Subject: [PATCH] resized optc resisor --- DC/DC.kicad_pcb | 356 +++++++++--------- DC/DC.kicad_sch | 127 +++---- ...863_10_Product Environmental Footprint.pdf | Bin 0 -> 234240 bytes DC/~DC.kicad_pcb.lck | 1 + 4 files changed, 240 insertions(+), 244 deletions(-) create mode 100644 DC/library/1521863_10_Product Environmental Footprint.pdf create mode 100644 DC/~DC.kicad_pcb.lck diff --git a/DC/DC.kicad_pcb b/DC/DC.kicad_pcb index f089b5f..189b187 100644 --- a/DC/DC.kicad_pcb +++ b/DC/DC.kicad_pcb @@ -1269,7 +1269,7 @@ ) ) ) - (property "Value" "12k" + (property "Value" "?" (at 0 1.43 0) (layer "F.Fab") (uuid "5245a3a9-bb81-43b1-b91b-54c8eecc5968") @@ -1323,7 +1323,7 @@ (path "/467c7bb7-2842-4f0e-b97d-9e1ea455ee17") (sheetname "Root") (sheetfile "DC.kicad_sch") - (attr smd) + (attr smd dnp) (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) @@ -4316,7 +4316,7 @@ ) ) ) - (property "Value" "8.2k" + (property "Value" "?" (at 0 1.43 0) (layer "F.Fab") (uuid "a97ec504-5546-4034-aadd-7e9368e834e4") @@ -4370,7 +4370,7 @@ (path "/b19e3908-28a3-4b9e-aad1-3b0664e1b771") (sheetname "Root") (sheetfile "DC.kicad_sch") - (attr smd) + (attr smd dnp) (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) @@ -6543,7 +6543,7 @@ (descr "SOP, 4 Pin (http://www.ixysic.com/home/pdfs.nsf/www/CPC1017N.pdf/$file/CPC1017N.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") (tags "SOP SO") (property "Reference" "U4" - (at 0 -3 0) + (at 0 0 0) (layer "F.SilkS") (uuid "d5358507-2303-4206-927d-de6d79017a24") (effects @@ -11138,7 +11138,7 @@ ) ) ) - (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" + (property "Datasheet" "https://www.st.com/resource/en/datasheet/st732.pdf" (at 0 0 -90) (unlocked yes) (layer "F.Fab") @@ -13378,16 +13378,16 @@ ) ) ) - (footprint "Resistor_SMD:R_1206_3216Metric" + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") (uuid "df28454e-9b91-4b97-830f-ebe067c784c1") - (at 168.7 60.7) - (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (at 169.1 60.7) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") (tags "resistor") (property "Reference" "R6" - (at -0.6 1.6 0) + (at -0.6 1.3 0) (layer "F.SilkS") - (uuid "be785e9b-05c2-46a9-86cf-fcf161070c0c") + (uuid "82e5f4a0-232e-4682-b912-b00c2e9a1f4f") (effects (font (size 1 1) @@ -13395,10 +13395,10 @@ ) ) ) - (property "Value" "330" - (at 0 1.82 0) + (property "Value" "6.8k" + (at 0 1.43 0) (layer "F.Fab") - (uuid "6d29fd0f-1ffd-4452-8dcc-177c1d53a47a") + (uuid "96e7cd00-fb50-432a-8316-69c701d41b38") (effects (font (size 1 1) @@ -13406,12 +13406,12 @@ ) ) ) - (property "Footprint" "Resistor_SMD:R_1206_3216Metric" + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 0 0 0) (unlocked yes) (layer "F.Fab") (hide yes) - (uuid "9efd8f9a-aa03-4d8a-a265-1d42911c7bb5") + (uuid "64c61d4b-125c-4306-ba47-531ca475a609") (effects (font (size 1.27 1.27) @@ -13424,7 +13424,7 @@ (unlocked yes) (layer "F.Fab") (hide yes) - (uuid "7aa0bc22-1e84-4386-88e3-73208e858691") + (uuid "3d3a04e7-4729-4d73-9ec7-eae2e7e0edaf") (effects (font (size 1.27 1.27) @@ -13437,7 +13437,7 @@ (unlocked yes) (layer "F.Fab") (hide yes) - (uuid "9517cf3f-6260-4878-a24f-4beda41dc1ce") + (uuid "f24619f2-3c3f-4c3f-8dba-847f00a95c4a") (effects (font (size 1.27 1.27) @@ -13451,135 +13451,135 @@ (sheetfile "DC.kicad_sch") (attr smd) (fp_line - (start -0.727064 -0.91) - (end 0.727064 -0.91) + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) (stroke (width 0.12) (type solid) ) (layer "F.SilkS") - (uuid "1c381acf-1d5a-44bc-9d24-6d533cfe6f8c") + (uuid "afdc06be-eca8-4096-91da-6231a350bae5") ) (fp_line - (start -0.727064 0.91) - (end 0.727064 0.91) + (start -0.237258 0.5225) + (end 0.237258 0.5225) (stroke (width 0.12) (type solid) ) (layer "F.SilkS") - (uuid "637ebe97-6659-45d4-a1a1-9d2e25b16ee0") + (uuid "325498a5-ab63-4fee-9482-471faa177b7c") ) (fp_line - (start -2.28 -1.12) - (end 2.28 -1.12) + (start -1.48 -0.73) + (end 1.48 -0.73) (stroke (width 0.05) (type solid) ) (layer "F.CrtYd") - (uuid "a693375a-322c-4f14-86d7-a51a580a86b2") + (uuid "c3a83318-8612-4ef2-9b00-f97941d8563c") ) (fp_line - (start -2.28 1.12) - (end -2.28 -1.12) + (start -1.48 0.73) + (end -1.48 -0.73) (stroke (width 0.05) (type solid) ) (layer "F.CrtYd") - (uuid "697a720e-27b0-4188-9bfd-0fdccfcd7cd8") + (uuid "5c02a0d7-0195-4726-9f53-d15d410a0cd9") ) (fp_line - (start 2.28 -1.12) - (end 2.28 1.12) + (start 1.48 -0.73) + (end 1.48 0.73) (stroke (width 0.05) (type solid) ) (layer "F.CrtYd") - (uuid "b5d29949-2213-4433-9673-d4d18022b362") + (uuid "aa055e33-17b3-4216-b199-99161df0c9bd") ) (fp_line - (start 2.28 1.12) - (end -2.28 1.12) + (start 1.48 0.73) + (end -1.48 0.73) (stroke (width 0.05) (type solid) ) (layer "F.CrtYd") - (uuid "f4853e01-524c-4278-8b69-1bbf6548d1de") + (uuid "9d3224b7-c826-4f55-9e2f-5d09ffa0528b") ) (fp_line - (start -1.6 -0.8) - (end 1.6 -0.8) + (start -0.8 -0.4125) + (end 0.8 -0.4125) (stroke (width 0.1) (type solid) ) (layer "F.Fab") - (uuid "e29c3e36-9041-447d-9e4d-2bff449ab3e3") + (uuid "08d39a59-e20a-41fe-9735-12e0d93774c4") ) (fp_line - (start -1.6 0.8) - (end -1.6 -0.8) + (start -0.8 0.4125) + (end -0.8 -0.4125) (stroke (width 0.1) (type solid) ) (layer "F.Fab") - (uuid "47a8adc4-ee07-4609-9cff-f6f3d59c43af") + (uuid "0624ca8f-c8ed-4edc-aa80-a44355431a55") ) (fp_line - (start 1.6 -0.8) - (end 1.6 0.8) + (start 0.8 -0.4125) + (end 0.8 0.4125) (stroke (width 0.1) (type solid) ) (layer "F.Fab") - (uuid "3ba1a3b5-b7f4-49bf-8f6e-332f9c9cbd02") + (uuid "2f993a60-bf01-4605-ba82-33a14954c872") ) (fp_line - (start 1.6 0.8) - (end -1.6 0.8) + (start 0.8 0.4125) + (end -0.8 0.4125) (stroke (width 0.1) (type solid) ) (layer "F.Fab") - (uuid "367566c4-b3f7-4dfa-854a-112efac0be01") + (uuid "723a29bc-93b2-418c-8529-f15a9995ba30") ) (fp_text user "${REFERENCE}" (at 0 0 0) (layer "F.Fab") - (uuid "040853e2-c39b-4c4c-ae62-28825dfe5001") + (uuid "6805c022-f4f5-41cb-9636-7023a56c3928") (effects (font - (size 0.8 0.8) - (thickness 0.12) + (size 0.4 0.4) + (thickness 0.06) ) ) ) (pad "1" smd roundrect - (at -1.4625 0) - (size 1.125 1.75) + (at -0.825 0) + (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") - (roundrect_rratio 0.222222) + (roundrect_rratio 0.25) (net 19 "/SDC") (pintype "passive") - (uuid "2deccbee-f50c-4619-8e4b-94fc28e1972c") + (uuid "285f8220-a7ad-4b7a-a4ee-76924e992feb") ) (pad "2" smd roundrect - (at 1.4625 0) - (size 1.125 1.75) + (at 0.825 0) + (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") - (roundrect_rratio 0.222222) + (roundrect_rratio 0.25) (net 26 "Net-(R6-Pad2)") (pintype "passive") - (uuid "2672d67b-c5af-4f98-9553-bbd69ce49d6c") + (uuid "90edf039-b73c-4e46-95c9-4950c48a3fde") ) - (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl" + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0) ) @@ -26557,7 +26557,7 @@ ) ) ) - (gr_text "3x 470kΩ" + (gr_text "3x 1MΩ" (at 193.2 59.4 315) (layer "F.SilkS") (uuid "e0a3c7d1-5894-4135-a585-6f0337ac53f4") @@ -26569,8 +26569,8 @@ (justify left bottom) ) ) - (gr_text "by karlsson" - (at 142.6 59.25 0) + (gr_text "carlitos\n swinkels" + (at 141.8 60.9 0) (layer "F.Mask") (uuid "979eed7d-3f58-47dc-8b1e-e26f04702e7f") (effects @@ -30559,12 +30559,12 @@ (uuid "42ff2ecc-beaa-416a-9a10-aa96190c788f") ) (segment - (start 167.016685 60.479185) - (end 167.2375 60.7) + (start 168.179185 60.479185) + (end 168.2 60.5) (width 0.2) (layer "F.Cu") (net 19) - (uuid "6ae37f36-95d3-4527-ba9e-557374a49234") + (uuid "74ebf169-3df9-4c01-8166-b6f11854c409") ) (segment (start 159.1 60.310001) @@ -30592,11 +30592,11 @@ ) (segment (start 165.821487 60.479185) - (end 167.016685 60.479185) + (end 168.179185 60.479185) (width 0.2) (layer "F.Cu") (net 19) - (uuid "f3614228-f1ae-46c9-91a0-fc60ccb17d92") + (uuid "bad33d74-f34e-4e9a-bffe-f5926699b7d4") ) (segment (start 161.025 60.4) @@ -32499,88 +32499,91 @@ (xy 168.554405 57.993297) (xy 168.55569 57.99499) (xy 168.559421 57.998721) (xy 168.55891 57.999231) (xy 168.596658 58.04894) (xy 168.60212 58.118596) (xy 168.569057 58.180147) (xy 168.555702 58.191873) (xy 168.482437 58.247432) (xy 168.391079 58.367904) (xy 168.335613 58.508557) (xy 168.331838 58.54) - (xy 169.876 58.54) (xy 169.943039 58.559685) (xy 169.988794 58.612489) (xy 170 58.664) (xy 170 58.916) - (xy 169.980315 58.983039) (xy 169.927511 59.028794) (xy 169.876 59.04) (xy 168.331838 59.04) (xy 168.335613 59.071442) - (xy 168.391079 59.212095) (xy 168.482435 59.332564) (xy 168.602904 59.42392) (xy 168.743556 59.479386) - (xy 168.831946 59.49) (xy 169.353081 59.49) (xy 169.42012 59.509685) (xy 169.465875 59.562489) (xy 169.475819 59.631647) - (xy 169.451885 59.688925) (xy 169.365639 59.802656) (xy 169.310122 59.943438) (xy 169.308776 59.954649) - (xy 169.2995 60.031898) (xy 169.2995 61.368102) (xy 169.302303 61.391442) (xy 169.310122 61.456561) - (xy 169.365638 61.597341) (xy 169.365639 61.597342) (xy 169.399303 61.641735) (xy 169.424127 61.707045) - (xy 169.4245 61.71666) (xy 169.4245 61.862819) (xy 169.404815 61.929858) (xy 169.375426 61.961622) - (xy 169.324922 61.99992) (xy 169.237636 62.115023) (xy 169.18464 62.249411) (xy 169.180823 62.281204) - (xy 169.1745 62.333856) (xy 169.1745 62.866144) (xy 169.177105 62.887836) (xy 169.18464 62.950588) - (xy 169.237636 63.084976) (xy 169.324921 63.200078) (xy 169.440023 63.287363) (xy 169.440024 63.287363) - (xy 169.440025 63.287364) (xy 169.57441 63.340359) (xy 169.658856 63.3505) (xy 169.658862 63.3505) - (xy 170.476 63.3505) (xy 170.543039 63.370185) (xy 170.588794 63.422989) (xy 170.6 63.4745) (xy 170.6 64.166) - (xy 170.580315 64.233039) (xy 170.527511 64.278794) (xy 170.476 64.29) (xy 170.45 64.29) (xy 170.45 65.99) - (xy 170.476 65.99) (xy 170.543039 66.009685) (xy 170.588794 66.062489) (xy 170.6 66.114) (xy 170.6 72.526873) - (xy 170.580315 72.593912) (xy 170.527511 72.639667) (xy 170.458353 72.649611) (xy 170.401075 72.625677) - (xy 170.284976 72.537636) (xy 170.150588 72.48464) (xy 170.107242 72.479435) (xy 170.066144 72.4745) - (xy 169.483856 72.4745) (xy 169.445841 72.479065) (xy 169.399411 72.48464) (xy 169.265026 72.537635) - (xy 169.265025 72.537636) (xy 169.183702 72.599304) (xy 169.118393 72.624127) (xy 169.108779 72.6245) - (xy 168.990954 72.6245) (xy 168.923915 72.604815) (xy 168.914842 72.597504) (xy 168.914645 72.597768) - (xy 168.792335 72.506206) (xy 168.792328 72.506202) (xy 168.657482 72.455908) (xy 168.657483 72.455908) - (xy 168.597883 72.449501) (xy 168.597881 72.4495) (xy 168.597873 72.4495) (xy 168.597865 72.4495) - (xy 168.192255 72.4495) (xy 168.125216 72.429815) (xy 168.104574 72.413181) (xy 167.658021 71.966628) - (xy 167.624536 71.905305) (xy 167.62952 71.835613) (xy 167.647323 71.803467) (xy 167.724536 71.702841) - (xy 167.785044 71.556762) (xy 167.805682 71.4) (xy 167.803117 71.380519) (xy 167.785044 71.243239) - (xy 167.785044 71.243238) (xy 167.724536 71.097159) (xy 167.628282 70.971718) (xy 167.502841 70.875464) - (xy 167.484536 70.867882) (xy 167.356762 70.814956) (xy 167.35676 70.814955) (xy 167.200001 70.794318) - (xy 167.199999 70.794318) (xy 167.043239 70.814955) (xy 167.043237 70.814956) (xy 166.89716 70.875463) - (xy 166.771718 70.971718) (xy 166.675463 71.09716) (xy 166.614956 71.243237) (xy 166.612853 71.251088) - (xy 166.611196 71.250644) (xy 166.586899 71.305576) (xy 166.528577 71.344051) (xy 166.492222 71.3495) - (xy 166.433676 71.3495) (xy 166.366637 71.329815) (xy 166.345995 71.313181) (xy 165.944987 70.912173) - (xy 165.911502 70.85085) (xy 165.912451 70.794096) (xy 165.914386 70.786441) (xy 165.918162 70.755) - (xy 165.677743 70.755) (xy 165.645656 70.750776) (xy 165.640892 70.7495) (xy 164.599 70.7495) (xy 164.531961 70.729815) - (xy 164.486206 70.677011) (xy 164.475 70.6255) (xy 164.475 70.255) (xy 164.975 70.255) (xy 165.918161 70.255) - (xy 165.914386 70.223557) (xy 165.85892 70.082904) (xy 165.76756 69.962429) (xy 165.762812 69.957681) - (xy 165.729327 69.896358) (xy 165.734311 69.826666) (xy 165.762812 69.782319) (xy 165.76756 69.77757) - (xy 165.85892 69.657095) (xy 165.914386 69.516442) (xy 165.918162 69.485) (xy 164.975 69.485) (xy 164.975 70.255) - (xy 164.475 70.255) (xy 164.475 68.985) (xy 164.975 68.985) (xy 165.918161 68.985) (xy 165.914386 68.953557) - (xy 165.85892 68.812904) (xy 165.76756 68.692429) (xy 165.762812 68.687681) (xy 165.729327 68.626358) - (xy 165.734311 68.556666) (xy 165.762812 68.512319) (xy 165.76756 68.50757) (xy 165.85892 68.387095) - (xy 165.914386 68.246442) (xy 165.918162 68.215) (xy 164.975 68.215) (xy 164.975 68.985) (xy 164.475 68.985) - (xy 164.475 65.995) (xy 164.031946 65.995) (xy 163.943556 66.005613) (xy 163.802904 66.061079) (xy 163.682435 66.152435) - (xy 163.591079 66.272904) (xy 163.535613 66.413556) (xy 163.525 66.501946) (xy 163.525 66.564122) - (xy 163.505315 66.631161) (xy 163.452511 66.676916) (xy 163.383353 66.68686) (xy 163.343376 66.673919) - (xy 163.280046 66.640682) (xy 163.280047 66.640682) (xy 163.114994 66.6) (xy 162.945006 66.6) (xy 162.779953 66.640682) - (xy 162.779946 66.640684) (xy 162.743412 66.659858) (xy 162.743411 66.659859) (xy 163.03 66.946447) - (xy 163.295871 67.212318) (xy 163.329356 67.273641) (xy 163.324372 67.343333) (xy 163.295871 67.38768) - (xy 162.742072 67.941479) (xy 162.742072 67.958519) (xy 163.295871 68.512318) (xy 163.329356 68.573641) - (xy 163.324372 68.643333) (xy 163.295871 68.68768) (xy 162.742072 69.241479) (xy 162.742072 69.258519) - (xy 163.295871 69.812318) (xy 163.329356 69.873641) (xy 163.324372 69.943333) (xy 163.295871 69.98768) - (xy 162.743411 70.54014) (xy 162.779951 70.559317) (xy 162.77995 70.559317) (xy 162.945006 70.6) - (xy 163.114994 70.6) (xy 163.280042 70.559318) (xy 163.343374 70.52608) (xy 163.411883 70.512355) - (xy 163.476936 70.537848) (xy 163.51788 70.594464) (xy 163.525 70.635877) (xy 163.525 70.698053) - (xy 163.535613 70.786443) (xy 163.59108 70.927097) (xy 163.685294 71.051337) (xy 163.710117 71.116648) - (xy 163.695689 71.185012) (xy 163.674171 71.213943) (xy 162.024935 72.863181) (xy 161.963612 72.896666) - (xy 161.937254 72.8995) (xy 161.353534 72.8995) (xy 161.286495 72.879815) (xy 161.24074 72.827011) - (xy 161.230796 72.757853) (xy 161.255159 72.700013) (xy 161.349098 72.57759) (xy 161.349099 72.577587) - (xy 161.409554 72.431634) (xy 161.409555 72.43163) (xy 161.424999 72.31433) (xy 161.425 72.314316) - (xy 161.425 72.25) (xy 160.499 72.25) (xy 160.431961 72.230315) (xy 160.386206 72.177511) (xy 160.375 72.126) - (xy 160.375 71.874) (xy 160.394685 71.806961) (xy 160.447489 71.761206) (xy 160.499 71.75) (xy 161.424999 71.75) - (xy 161.424999 71.685675) (xy 161.408843 71.562948) (xy 161.419609 71.493913) (xy 161.46599 71.441657) - (xy 161.484325 71.432205) (xy 161.502841 71.424536) (xy 161.628282 71.328282) (xy 161.724536 71.202841) - (xy 161.785044 71.056762) (xy 161.803833 70.914046) (xy 161.805682 70.900001) (xy 161.805682 70.899998) - (xy 161.785044 70.743239) (xy 161.785044 70.743238) (xy 161.724536 70.597159) (xy 161.628282 70.471718) - (xy 161.502841 70.375464) (xy 161.356762 70.314956) (xy 161.317553 70.309794) (xy 161.196547 70.293863) - (xy 161.132651 70.265596) (xy 161.125052 70.258605) (xy 160.956819 70.090372) (xy 160.923334 70.029049) - (xy 160.9205 70.002691) (xy 160.9205 69.870163) (xy 160.97 69.870163) (xy 160.97 69.929837) (xy 160.992836 69.984968) - (xy 161.035032 70.027164) (xy 161.090163 70.05) (xy 161.149837 70.05) (xy 161.204968 70.027164) - (xy 161.247164 69.984968) (xy 161.27 69.929837) (xy 161.27 69.899999) (xy 161.473553 69.899999) - (xy 161.473553 69.9) (xy 161.760009 70.186456) (xy 161.80465 70.068751) (xy 161.804651 70.068748) - (xy 161.825141 69.9) (xy 161.825141 69.899999) (xy 162.324859 69.899999) (xy 162.324859 69.9) (xy 162.345348 70.068751) - (xy 162.389989 70.186457) (xy 162.676447 69.9) (xy 162.676447 69.899999) (xy 162.646611 69.870163) - (xy 162.88 69.870163) (xy 162.88 69.929837) (xy 162.902836 69.984968) (xy 162.945032 70.027164) - (xy 163.000163 70.05) (xy 163.059837 70.05) (xy 163.114968 70.027164) (xy 163.157164 69.984968) - (xy 163.18 69.929837) (xy 163.18 69.870163) (xy 163.157164 69.815032) (xy 163.114968 69.772836) - (xy 163.059837 69.75) (xy 163.000163 69.75) (xy 162.945032 69.772836) (xy 162.902836 69.815032) - (xy 162.88 69.870163) (xy 162.646611 69.870163) (xy 162.389989 69.613541) (xy 162.389988 69.613542) - (xy 162.345349 69.731248) (xy 162.324859 69.899999) (xy 161.825141 69.899999) (xy 161.804651 69.731251) - (xy 161.80465 69.731248) (xy 161.760009 69.613542) (xy 161.473553 69.899999) (xy 161.27 69.899999) - (xy 161.27 69.870163) (xy 161.247164 69.815032) (xy 161.204968 69.772836) (xy 161.149837 69.75) - (xy 161.090163 69.75) (xy 161.035032 69.772836) (xy 160.992836 69.815032) (xy 160.97 69.870163) - (xy 160.9205 69.870163) (xy 160.9205 69.797309) (xy 160.940185 69.73027) (xy 160.956819 69.709628) + (xy 169.876 58.54) (xy 169.943039 58.559685) (xy 169.988794 58.612489) (xy 170 58.664) (xy 170 59.49) + (xy 170.476 59.49) (xy 170.543039 59.509685) (xy 170.588794 59.562489) (xy 170.6 59.614) (xy 170.6 59.907657) + (xy 170.580315 59.974696) (xy 170.527511 60.020451) (xy 170.458353 60.030395) (xy 170.40169 60.006924) + (xy 170.367335 59.981206) (xy 170.367328 59.981202) (xy 170.232486 59.93091) (xy 170.232485 59.930909) + (xy 170.232483 59.930909) (xy 170.172873 59.9245) (xy 170.172863 59.9245) (xy 169.677129 59.9245) + (xy 169.677123 59.924501) (xy 169.617516 59.930908) (xy 169.482671 59.981202) (xy 169.482664 59.981206) + (xy 169.367455 60.067452) (xy 169.367452 60.067455) (xy 169.281206 60.182664) (xy 169.281202 60.182671) + (xy 169.237442 60.3) (xy 169.230909 60.317517) (xy 169.2245 60.377127) (xy 169.2245 60.377134) (xy 169.2245 60.377135) + (xy 169.2245 61.02287) (xy 169.224501 61.022876) (xy 169.230908 61.082483) (xy 169.281202 61.217328) + (xy 169.281203 61.217329) (xy 169.281204 61.217331) (xy 169.33073 61.283489) (xy 169.367454 61.332546) + (xy 169.374808 61.338051) (xy 169.416681 61.393983) (xy 169.4245 61.43732) (xy 169.4245 61.862819) + (xy 169.404815 61.929858) (xy 169.375426 61.961622) (xy 169.324922 61.99992) (xy 169.237636 62.115023) + (xy 169.18464 62.249411) (xy 169.180823 62.281204) (xy 169.1745 62.333856) (xy 169.1745 62.866144) + (xy 169.177105 62.887836) (xy 169.18464 62.950588) (xy 169.237636 63.084976) (xy 169.324921 63.200078) + (xy 169.440023 63.287363) (xy 169.440024 63.287363) (xy 169.440025 63.287364) (xy 169.57441 63.340359) + (xy 169.658856 63.3505) (xy 169.658862 63.3505) (xy 170.476 63.3505) (xy 170.543039 63.370185) (xy 170.588794 63.422989) + (xy 170.6 63.4745) (xy 170.6 64.166) (xy 170.580315 64.233039) (xy 170.527511 64.278794) (xy 170.476 64.29) + (xy 170.45 64.29) (xy 170.45 65.99) (xy 170.476 65.99) (xy 170.543039 66.009685) (xy 170.588794 66.062489) + (xy 170.6 66.114) (xy 170.6 72.526873) (xy 170.580315 72.593912) (xy 170.527511 72.639667) (xy 170.458353 72.649611) + (xy 170.401075 72.625677) (xy 170.284976 72.537636) (xy 170.150588 72.48464) (xy 170.107242 72.479435) + (xy 170.066144 72.4745) (xy 169.483856 72.4745) (xy 169.445841 72.479065) (xy 169.399411 72.48464) + (xy 169.265026 72.537635) (xy 169.265025 72.537636) (xy 169.183702 72.599304) (xy 169.118393 72.624127) + (xy 169.108779 72.6245) (xy 168.990954 72.6245) (xy 168.923915 72.604815) (xy 168.914842 72.597504) + (xy 168.914645 72.597768) (xy 168.792335 72.506206) (xy 168.792328 72.506202) (xy 168.657482 72.455908) + (xy 168.657483 72.455908) (xy 168.597883 72.449501) (xy 168.597881 72.4495) (xy 168.597873 72.4495) + (xy 168.597865 72.4495) (xy 168.192255 72.4495) (xy 168.125216 72.429815) (xy 168.104574 72.413181) + (xy 167.658021 71.966628) (xy 167.624536 71.905305) (xy 167.62952 71.835613) (xy 167.647323 71.803467) + (xy 167.724536 71.702841) (xy 167.785044 71.556762) (xy 167.805682 71.4) (xy 167.803117 71.380519) + (xy 167.785044 71.243239) (xy 167.785044 71.243238) (xy 167.724536 71.097159) (xy 167.628282 70.971718) + (xy 167.502841 70.875464) (xy 167.484536 70.867882) (xy 167.356762 70.814956) (xy 167.35676 70.814955) + (xy 167.200001 70.794318) (xy 167.199999 70.794318) (xy 167.043239 70.814955) (xy 167.043237 70.814956) + (xy 166.89716 70.875463) (xy 166.771718 70.971718) (xy 166.675463 71.09716) (xy 166.614956 71.243237) + (xy 166.612853 71.251088) (xy 166.611196 71.250644) (xy 166.586899 71.305576) (xy 166.528577 71.344051) + (xy 166.492222 71.3495) (xy 166.433676 71.3495) (xy 166.366637 71.329815) (xy 166.345995 71.313181) + (xy 165.944987 70.912173) (xy 165.911502 70.85085) (xy 165.912451 70.794096) (xy 165.914386 70.786441) + (xy 165.918162 70.755) (xy 165.677743 70.755) (xy 165.645656 70.750776) (xy 165.640892 70.7495) + (xy 164.599 70.7495) (xy 164.531961 70.729815) (xy 164.486206 70.677011) (xy 164.475 70.6255) (xy 164.475 70.255) + (xy 164.975 70.255) (xy 165.918161 70.255) (xy 165.914386 70.223557) (xy 165.85892 70.082904) (xy 165.76756 69.962429) + (xy 165.762812 69.957681) (xy 165.729327 69.896358) (xy 165.734311 69.826666) (xy 165.762812 69.782319) + (xy 165.76756 69.77757) (xy 165.85892 69.657095) (xy 165.914386 69.516442) (xy 165.918162 69.485) + (xy 164.975 69.485) (xy 164.975 70.255) (xy 164.475 70.255) (xy 164.475 68.985) (xy 164.975 68.985) + (xy 165.918161 68.985) (xy 165.914386 68.953557) (xy 165.85892 68.812904) (xy 165.76756 68.692429) + (xy 165.762812 68.687681) (xy 165.729327 68.626358) (xy 165.734311 68.556666) (xy 165.762812 68.512319) + (xy 165.76756 68.50757) (xy 165.85892 68.387095) (xy 165.914386 68.246442) (xy 165.918162 68.215) + (xy 164.975 68.215) (xy 164.975 68.985) (xy 164.475 68.985) (xy 164.475 65.995) (xy 164.031946 65.995) + (xy 163.943556 66.005613) (xy 163.802904 66.061079) (xy 163.682435 66.152435) (xy 163.591079 66.272904) + (xy 163.535613 66.413556) (xy 163.525 66.501946) (xy 163.525 66.564122) (xy 163.505315 66.631161) + (xy 163.452511 66.676916) (xy 163.383353 66.68686) (xy 163.343376 66.673919) (xy 163.280046 66.640682) + (xy 163.280047 66.640682) (xy 163.114994 66.6) (xy 162.945006 66.6) (xy 162.779953 66.640682) (xy 162.779946 66.640684) + (xy 162.743412 66.659858) (xy 162.743411 66.659859) (xy 163.03 66.946447) (xy 163.295871 67.212318) + (xy 163.329356 67.273641) (xy 163.324372 67.343333) (xy 163.295871 67.38768) (xy 162.742072 67.941479) + (xy 162.742072 67.958519) (xy 163.295871 68.512318) (xy 163.329356 68.573641) (xy 163.324372 68.643333) + (xy 163.295871 68.68768) (xy 162.742072 69.241479) (xy 162.742072 69.258519) (xy 163.295871 69.812318) + (xy 163.329356 69.873641) (xy 163.324372 69.943333) (xy 163.295871 69.98768) (xy 162.743411 70.54014) + (xy 162.779951 70.559317) (xy 162.77995 70.559317) (xy 162.945006 70.6) (xy 163.114994 70.6) (xy 163.280042 70.559318) + (xy 163.343374 70.52608) (xy 163.411883 70.512355) (xy 163.476936 70.537848) (xy 163.51788 70.594464) + (xy 163.525 70.635877) (xy 163.525 70.698053) (xy 163.535613 70.786443) (xy 163.59108 70.927097) + (xy 163.685294 71.051337) (xy 163.710117 71.116648) (xy 163.695689 71.185012) (xy 163.674171 71.213943) + (xy 162.024935 72.863181) (xy 161.963612 72.896666) (xy 161.937254 72.8995) (xy 161.353534 72.8995) + (xy 161.286495 72.879815) (xy 161.24074 72.827011) (xy 161.230796 72.757853) (xy 161.255159 72.700013) + (xy 161.349098 72.57759) (xy 161.349099 72.577587) (xy 161.409554 72.431634) (xy 161.409555 72.43163) + (xy 161.424999 72.31433) (xy 161.425 72.314316) (xy 161.425 72.25) (xy 160.499 72.25) (xy 160.431961 72.230315) + (xy 160.386206 72.177511) (xy 160.375 72.126) (xy 160.375 71.874) (xy 160.394685 71.806961) (xy 160.447489 71.761206) + (xy 160.499 71.75) (xy 161.424999 71.75) (xy 161.424999 71.685675) (xy 161.408843 71.562948) (xy 161.419609 71.493913) + (xy 161.46599 71.441657) (xy 161.484325 71.432205) (xy 161.502841 71.424536) (xy 161.628282 71.328282) + (xy 161.724536 71.202841) (xy 161.785044 71.056762) (xy 161.803833 70.914046) (xy 161.805682 70.900001) + (xy 161.805682 70.899998) (xy 161.785044 70.743239) (xy 161.785044 70.743238) (xy 161.724536 70.597159) + (xy 161.628282 70.471718) (xy 161.502841 70.375464) (xy 161.356762 70.314956) (xy 161.317553 70.309794) + (xy 161.196547 70.293863) (xy 161.132651 70.265596) (xy 161.125052 70.258605) (xy 160.956819 70.090372) + (xy 160.923334 70.029049) (xy 160.9205 70.002691) (xy 160.9205 69.870163) (xy 160.97 69.870163) + (xy 160.97 69.929837) (xy 160.992836 69.984968) (xy 161.035032 70.027164) (xy 161.090163 70.05) + (xy 161.149837 70.05) (xy 161.204968 70.027164) (xy 161.247164 69.984968) (xy 161.27 69.929837) + (xy 161.27 69.899999) (xy 161.473553 69.899999) (xy 161.473553 69.9) (xy 161.760009 70.186456) (xy 161.80465 70.068751) + (xy 161.804651 70.068748) (xy 161.825141 69.9) (xy 161.825141 69.899999) (xy 162.324859 69.899999) + (xy 162.324859 69.9) (xy 162.345348 70.068751) (xy 162.389989 70.186457) (xy 162.676447 69.9) (xy 162.676447 69.899999) + (xy 162.646611 69.870163) (xy 162.88 69.870163) (xy 162.88 69.929837) (xy 162.902836 69.984968) + (xy 162.945032 70.027164) (xy 163.000163 70.05) (xy 163.059837 70.05) (xy 163.114968 70.027164) + (xy 163.157164 69.984968) (xy 163.18 69.929837) (xy 163.18 69.870163) (xy 163.157164 69.815032) + (xy 163.114968 69.772836) (xy 163.059837 69.75) (xy 163.000163 69.75) (xy 162.945032 69.772836) + (xy 162.902836 69.815032) (xy 162.88 69.870163) (xy 162.646611 69.870163) (xy 162.389989 69.613541) + (xy 162.389988 69.613542) (xy 162.345349 69.731248) (xy 162.324859 69.899999) (xy 161.825141 69.899999) + (xy 161.804651 69.731251) (xy 161.80465 69.731248) (xy 161.760009 69.613542) (xy 161.473553 69.899999) + (xy 161.27 69.899999) (xy 161.27 69.870163) (xy 161.247164 69.815032) (xy 161.204968 69.772836) + (xy 161.149837 69.75) (xy 161.090163 69.75) (xy 161.035032 69.772836) (xy 160.992836 69.815032) + (xy 160.97 69.870163) (xy 160.9205 69.870163) (xy 160.9205 69.797309) (xy 160.940185 69.73027) (xy 160.956819 69.709628) (xy 161.407923 69.258523) (xy 161.407923 69.241476) (xy 160.933713 68.767266) (xy 160.90162 68.711679) (xy 160.898207 68.698941) (xy 160.894447 68.692429) (xy 160.861338 68.635083) (xy 160.845588 68.570163) (xy 160.97 68.570163) (xy 160.97 68.629837) (xy 160.992836 68.684968) (xy 161.035032 68.727164) @@ -32772,30 +32775,33 @@ (xy 165.387454 60.901717) (xy 165.393198 60.90746) (xy 165.393201 60.907463) (xy 165.393205 60.907467) (xy 165.518646 61.003721) (xy 165.664725 61.064229) (xy 165.743106 61.074548) (xy 165.821486 61.084867) (xy 165.821487 61.084867) (xy 165.821488 61.084867) (xy 165.897498 61.07486) (xy 165.978249 61.064229) - (xy 166.124328 61.003721) (xy 166.175013 60.964828) (xy 166.240182 60.939634) (xy 166.308627 60.953672) - (xy 166.358617 61.002486) (xy 166.3745 61.063204) (xy 166.3745 61.368102) (xy 166.377303 61.391442) - (xy 166.385122 61.456561) (xy 166.440639 61.597343) (xy 166.532077 61.717922) (xy 166.652656 61.80936) - (xy 166.652657 61.80936) (xy 166.652658 61.809361) (xy 166.793436 61.864877) (xy 166.881898 61.8755) - (xy 166.881903 61.8755) (xy 167.593097 61.8755) (xy 167.593102 61.8755) (xy 167.681564 61.864877) - (xy 167.822342 61.809361) (xy 167.942922 61.717922) (xy 168.034361 61.597342) (xy 168.089877 61.456564) - (xy 168.1005 61.368102) (xy 168.1005 60.031898) (xy 168.089877 59.943436) (xy 168.034361 59.802658) - (xy 168.03436 59.802657) (xy 168.03436 59.802656) (xy 167.942922 59.682077) (xy 167.822343 59.590639) - (xy 167.71881 59.549811) (xy 167.681564 59.535123) (xy 167.681563 59.535122) (xy 167.681561 59.535122) - (xy 167.635926 59.529642) (xy 167.593102 59.5245) (xy 166.881898 59.5245) (xy 166.842853 59.529188) - (xy 166.793438 59.535122) (xy 166.652656 59.590639) (xy 166.532077 59.682077) (xy 166.440639 59.802656) - (xy 166.416216 59.864589) (xy 166.385123 59.943436) (xy 166.385122 59.943438) (xy 166.382207 59.950832) - (xy 166.379467 59.949751) (xy 166.351045 59.997896) (xy 166.288635 60.029308) (xy 166.219149 60.021992) - (xy 166.190864 60.005703) (xy 166.124329 59.954649) (xy 165.978249 59.894141) (xy 165.978247 59.89414) - (xy 165.821488 59.873503) (xy 165.821483 59.873503) (xy 165.807406 59.875356) (xy 165.738371 59.864589) - (xy 165.686116 59.818209) (xy 165.667232 59.750939) (xy 165.668106 59.737646) (xy 165.673 59.696902) - (xy 165.673 59.103098) (xy 165.662979 59.019654) (xy 165.610615 58.886868) (xy 165.524367 58.773133) - (xy 165.473828 58.734807) (xy 165.432306 58.678617) (xy 165.427755 58.608896) (xy 165.46162 58.547782) - (xy 165.474449 58.536736) (xy 165.480045 58.532547) (xy 165.480046 58.532546) (xy 165.566296 58.417331) - (xy 165.616591 58.282483) (xy 165.623 58.222873) (xy 165.622999 57.577128) (xy 165.616591 57.517517) - (xy 165.615528 57.513019) (xy 165.619266 57.44325) (xy 165.660131 57.386577) (xy 165.725149 57.360994) - (xy 165.736204 57.3605) (xy 166.267505 57.3605) (xy 166.267507 57.3605) (xy 166.394801 57.326392) - (xy 166.508929 57.2605) (xy 166.982609 56.786818) (xy 167.043932 56.753334) (xy 167.07029 56.7505) - (xy 168.55046 56.7505) + (xy 166.124328 61.003721) (xy 166.249769 60.907467) (xy 166.24977 60.907464) (xy 166.25258 60.905309) + (xy 166.31775 60.880115) (xy 166.328067 60.879685) (xy 167.450501 60.879685) (xy 167.51754 60.89937) + (xy 167.563295 60.952174) (xy 167.574501 61.003685) (xy 167.574501 61.022876) (xy 167.580908 61.082483) + (xy 167.631202 61.217328) (xy 167.631206 61.217335) (xy 167.717452 61.332544) (xy 167.717455 61.332547) + (xy 167.832664 61.418793) (xy 167.832671 61.418797) (xy 167.860507 61.429179) (xy 167.967517 61.469091) + (xy 168.027127 61.4755) (xy 168.522872 61.475499) (xy 168.582483 61.469091) (xy 168.717331 61.418796) + (xy 168.832546 61.332546) (xy 168.918796 61.217331) (xy 168.969091 61.082483) (xy 168.9755 61.022873) + (xy 168.975499 60.377128) (xy 168.969091 60.317517) (xy 168.955196 60.280263) (xy 168.918797 60.182671) + (xy 168.918793 60.182664) (xy 168.832547 60.067455) (xy 168.832544 60.067452) (xy 168.717335 59.981206) + (xy 168.717328 59.981202) (xy 168.582486 59.93091) (xy 168.582485 59.930909) (xy 168.582483 59.930909) + (xy 168.522873 59.9245) (xy 168.522863 59.9245) (xy 168.027129 59.9245) (xy 168.027123 59.924501) + (xy 167.967516 59.930908) (xy 167.832671 59.981202) (xy 167.832668 59.981204) (xy 167.73549 60.053952) + (xy 167.670026 60.078369) (xy 167.661179 60.078685) (xy 166.328067 60.078685) (xy 166.261028 60.059) + (xy 166.25258 60.053061) (xy 166.24977 60.050905) (xy 166.249769 60.050903) (xy 166.124328 59.954649) + (xy 166.123396 59.954263) (xy 165.978249 59.894141) (xy 165.978247 59.89414) (xy 165.821488 59.873503) + (xy 165.821483 59.873503) (xy 165.807406 59.875356) (xy 165.738371 59.864589) (xy 165.686116 59.818209) + (xy 165.667232 59.750939) (xy 165.668106 59.737646) (xy 165.673 59.696902) (xy 165.673 59.103098) + (xy 165.665422 59.04) (xy 168.331838 59.04) (xy 168.335613 59.071442) (xy 168.391079 59.212095) + (xy 168.482435 59.332564) (xy 168.602904 59.42392) (xy 168.743556 59.479386) (xy 168.831946 59.49) + (xy 169.5 59.49) (xy 169.5 59.04) (xy 168.331838 59.04) (xy 165.665422 59.04) (xy 165.662979 59.019654) + (xy 165.610615 58.886868) (xy 165.524367 58.773133) (xy 165.473828 58.734807) (xy 165.432306 58.678617) + (xy 165.427755 58.608896) (xy 165.46162 58.547782) (xy 165.474449 58.536736) (xy 165.480045 58.532547) + (xy 165.480046 58.532546) (xy 165.566296 58.417331) (xy 165.616591 58.282483) (xy 165.623 58.222873) + (xy 165.622999 57.577128) (xy 165.616591 57.517517) (xy 165.615528 57.513019) (xy 165.619266 57.44325) + (xy 165.660131 57.386577) (xy 165.725149 57.360994) (xy 165.736204 57.3605) (xy 166.267505 57.3605) + (xy 166.267507 57.3605) (xy 166.394801 57.326392) (xy 166.508929 57.2605) (xy 166.982609 56.786818) + (xy 167.043932 56.753334) (xy 167.07029 56.7505) (xy 168.55046 56.7505) ) ) (filled_polygon @@ -32844,7 +32850,7 @@ (xy 153.324535 61.797161) (xy 153.324535 61.79716) (xy 153.307905 61.775488) (xy 153.28271 61.71032) (xy 153.296748 61.641875) (xy 153.3079 61.624521) (xy 153.324536 61.602841) (xy 153.385044 61.456762) (xy 153.405682 61.3) (xy 153.403509 61.283497) (xy 153.386125 61.151452) (xy 153.385044 61.143238) - (xy 153.356721 61.07486) (xy 153.333135 61.017917) (xy 153.325666 60.948448) (xy 153.356941 60.885969) + (xy 153.35988 61.082486) (xy 153.333135 61.017917) (xy 153.325666 60.948448) (xy 153.356941 60.885969) (xy 153.384576 60.863732) (xy 153.49611 60.797772) (xy 153.496116 60.797767) (xy 153.607767 60.686116) (xy 153.607776 60.686105) (xy 153.616986 60.670532) (xy 153.668054 60.622848) (xy 153.736796 60.610344) (xy 153.801385 60.636989) (xy 153.822521 60.658725) (xy 153.831698 60.670827) (xy 153.834923 60.675079) diff --git a/DC/DC.kicad_sch b/DC/DC.kicad_sch index 35541a3..8cc45ad 100644 --- a/DC/DC.kicad_sch +++ b/DC/DC.kicad_sch @@ -4640,7 +4640,7 @@ (uuid "0b8e9d0e-2844-47b1-a292-a66c081a0afc") ) (junction - (at 45.72 176.53) + (at 46.99 176.53) (diameter 0) (color 0 0 0 0) (uuid "0d0ae59d-5335-41ed-b9a4-f743ae90e047") @@ -4923,7 +4923,7 @@ ) (wire (pts - (xy 58.42 176.53) (xy 64.77 176.53) + (xy 59.69 176.53) (xy 66.04 176.53) ) (stroke (width 0) @@ -5053,7 +5053,7 @@ ) (wire (pts - (xy 45.72 179.07) (xy 44.45 179.07) + (xy 46.99 179.07) (xy 45.72 179.07) ) (stroke (width 0) @@ -5123,7 +5123,7 @@ ) (wire (pts - (xy 64.77 176.53) (xy 64.77 175.26) + (xy 66.04 176.53) (xy 66.04 175.26) ) (stroke (width 0) @@ -5273,7 +5273,7 @@ ) (wire (pts - (xy 45.72 176.53) (xy 45.72 175.26) + (xy 46.99 176.53) (xy 46.99 175.26) ) (stroke (width 0) @@ -5353,7 +5353,7 @@ ) (wire (pts - (xy 45.72 176.53) (xy 44.45 176.53) + (xy 46.99 176.53) (xy 45.72 176.53) ) (stroke (width 0) @@ -5634,7 +5634,7 @@ ) (wire (pts - (xy 45.72 184.15) (xy 44.45 184.15) + (xy 46.99 184.15) (xy 45.72 184.15) ) (stroke (width 0) @@ -5774,7 +5774,7 @@ ) (wire (pts - (xy 45.72 176.53) (xy 50.8 176.53) + (xy 46.99 176.53) (xy 52.07 176.53) ) (stroke (width 0) @@ -5895,7 +5895,7 @@ ) (wire (pts - (xy 45.72 186.69) (xy 45.72 184.15) + (xy 46.99 186.69) (xy 46.99 184.15) ) (stroke (width 0) @@ -5955,7 +5955,7 @@ ) (wire (pts - (xy 45.72 181.61) (xy 44.45 181.61) + (xy 46.99 181.61) (xy 45.72 181.61) ) (stroke (width 0) @@ -6135,7 +6135,7 @@ ) (wire (pts - (xy 30.48 186.69) (xy 31.75 186.69) + (xy 31.75 186.69) (xy 33.02 186.69) ) (stroke (width 0) @@ -6249,7 +6249,7 @@ ) (rectangle (start 20.32 166.37) - (end 67.945 193.04) + (end 68.58 193.04) (stroke (width 0) (type default) @@ -6350,17 +6350,6 @@ ) (uuid "5b97e0ec-2be3-4f34-a177-ec8eaa54b7b7") ) - (text "Min delay for opening DC after SDC opens\nC = ~8uF (10uF MLCC at 10V)\nU_supply = 10V\nU_thresh = 4V\nR = 100kΩ\n\n100kΩ * 8uF = 0.8 seconds\n4 = 10 * (1 - e^(-t/0.8))\n0.4 = 1 - e^(-t/0.8)\n0.6 = e^(-t/0.8)\nln(0.6) = -t/0.8\nt = -0.8 * ln(0.6)\nt ≈ 0.408 seconds\n" - (exclude_from_sim no) - (at 188.722 65.786 0) - (effects - (font - (size 1.27 1.27) - ) - (justify left) - ) - (uuid "5c98b1ac-2379-4829-9812-51380774d651") - ) (text "TSAL driver board and DC-link voltage detection" (exclude_from_sim no) (at 71.882 17.526 0) @@ -6453,7 +6442,7 @@ ) (uuid "e589dcb2-387b-4764-962e-d312be997c64") ) - (text "I_f=10mA" + (text "I_f=4mA" (exclude_from_sim no) (at 181.61 93.218 0) (effects @@ -6508,7 +6497,7 @@ (uuid "373766a1-9a77-46a3-9aea-be92ea9903d0") ) (label "TS_OFF" - (at 45.72 181.61 0) + (at 46.99 181.61 0) (fields_autoplaced yes) (effects (font @@ -6585,7 +6574,7 @@ (uuid "88c4c738-2845-4638-91db-bccc1a6f589e") ) (label "GREEN_Low" - (at 31.75 184.15 180) + (at 33.02 184.15 180) (fields_autoplaced yes) (effects (font @@ -6618,7 +6607,7 @@ (uuid "a71d8013-a520-4c34-ba83-906043c9623f") ) (label "SDC" - (at 45.72 179.07 0) + (at 46.99 179.07 0) (fields_autoplaced yes) (effects (font @@ -6640,7 +6629,7 @@ (uuid "b2d43ad5-53a9-40bf-8fdf-594161cd8f4b") ) (label "GREEN_High" - (at 31.75 179.07 180) + (at 33.02 179.07 180) (fields_autoplaced yes) (effects (font @@ -6695,7 +6684,7 @@ (uuid "eb9d6a65-2132-42c6-b52d-d44efa22777c") ) (label "RED_High" - (at 31.75 176.53 180) + (at 33.02 176.53 180) (fields_autoplaced yes) (effects (font @@ -6728,7 +6717,7 @@ (uuid "f6286c1d-8f1a-41d5-9715-49d170b7920c") ) (label "RED_Low" - (at 31.75 181.61 180) + (at 33.02 181.61 180) (fields_autoplaced yes) (effects (font @@ -6885,7 +6874,7 @@ ) (symbol (lib_id "power:PWR_FLAG") - (at 45.72 175.26 0) + (at 46.99 175.26 0) (unit 1) (exclude_from_sim no) (in_bom yes) @@ -6894,7 +6883,7 @@ (fields_autoplaced yes) (uuid "0acea790-ad1b-4eb6-8850-87914cd03b0b") (property "Reference" "#FLG01" - (at 45.72 173.355 0) + (at 46.99 173.355 0) (effects (font (size 1.27 1.27) @@ -6903,7 +6892,7 @@ ) ) (property "Value" "PWR_FLAG" - (at 45.72 170.18 0) + (at 46.99 170.18 0) (effects (font (size 1.27 1.27) @@ -6911,7 +6900,7 @@ ) ) (property "Footprint" "" - (at 45.72 175.26 0) + (at 46.99 175.26 0) (effects (font (size 1.27 1.27) @@ -6920,7 +6909,7 @@ ) ) (property "Datasheet" "~" - (at 45.72 175.26 0) + (at 46.99 175.26 0) (effects (font (size 1.27 1.27) @@ -6929,7 +6918,7 @@ ) ) (property "Description" "Special symbol for telling ERC where power comes from" - (at 45.72 175.26 0) + (at 46.99 175.26 0) (effects (font (size 1.27 1.27) @@ -7016,7 +7005,7 @@ ) (symbol (lib_id "Device:D_Schottky") - (at 54.61 176.53 180) + (at 55.88 176.53 180) (unit 1) (exclude_from_sim no) (in_bom yes) @@ -7025,7 +7014,7 @@ (fields_autoplaced yes) (uuid "0dd8da24-8112-4a4e-9b37-9e2eabd9cb81") (property "Reference" "D6" - (at 54.9275 170.18 0) + (at 56.1975 170.18 0) (effects (font (size 1.27 1.27) @@ -7033,7 +7022,7 @@ ) ) (property "Value" "STPS1L30AFN" - (at 54.9275 172.72 0) + (at 56.1975 172.72 0) (effects (font (size 1.27 1.27) @@ -7041,7 +7030,7 @@ ) ) (property "Footprint" "Diode_SMD:D_SMA" - (at 54.61 176.53 0) + (at 55.88 176.53 0) (effects (font (size 1.27 1.27) @@ -7050,7 +7039,7 @@ ) ) (property "Datasheet" "https://www.st.com/resource/en/datasheet/stps1l30.pdf" - (at 54.61 176.53 0) + (at 55.88 176.53 0) (effects (font (size 1.27 1.27) @@ -7059,7 +7048,7 @@ ) ) (property "Description" "Schottky diode" - (at 54.61 176.53 0) + (at 55.88 176.53 0) (effects (font (size 1.27 1.27) @@ -8008,7 +7997,7 @@ ) (symbol (lib_id "power:GND") - (at 30.48 186.69 0) + (at 31.75 186.69 0) (mirror y) (unit 1) (exclude_from_sim no) @@ -8017,7 +8006,7 @@ (dnp no) (uuid "2d979720-e953-4a74-8a4c-9551b9da7dfd") (property "Reference" "#PWR041" - (at 30.48 193.04 0) + (at 31.75 193.04 0) (effects (font (size 1.27 1.27) @@ -8026,7 +8015,7 @@ ) ) (property "Value" "GND" - (at 30.48 191.008 0) + (at 31.75 191.008 0) (effects (font (size 1.27 1.27) @@ -8034,7 +8023,7 @@ ) ) (property "Footprint" "" - (at 30.48 186.69 0) + (at 31.75 186.69 0) (effects (font (size 1.27 1.27) @@ -8043,7 +8032,7 @@ ) ) (property "Datasheet" "" - (at 30.48 186.69 0) + (at 31.75 186.69 0) (effects (font (size 1.27 1.27) @@ -8052,7 +8041,7 @@ ) ) (property "Description" "" - (at 30.48 186.69 0) + (at 31.75 186.69 0) (effects (font (size 1.27 1.27) @@ -11776,7 +11765,7 @@ ) (symbol (lib_id "power:+BATT") - (at 64.77 175.26 0) + (at 66.04 175.26 0) (mirror y) (unit 1) (exclude_from_sim no) @@ -11785,7 +11774,7 @@ (dnp no) (uuid "a26be363-ee5c-4193-9885-c1512559c942") (property "Reference" "#PWR036" - (at 64.77 179.07 0) + (at 66.04 179.07 0) (effects (font (size 1.27 1.27) @@ -11794,7 +11783,7 @@ ) ) (property "Value" "+BATT" - (at 62.484 170.18 0) + (at 63.754 170.18 0) (effects (font (size 1.27 1.27) @@ -11802,7 +11791,7 @@ ) ) (property "Footprint" "" - (at 64.77 175.26 0) + (at 66.04 175.26 0) (effects (font (size 1.27 1.27) @@ -11811,7 +11800,7 @@ ) ) (property "Datasheet" "" - (at 64.77 175.26 0) + (at 66.04 175.26 0) (effects (font (size 1.27 1.27) @@ -11820,7 +11809,7 @@ ) ) (property "Description" "" - (at 64.77 175.26 0) + (at 66.04 175.26 0) (effects (font (size 1.27 1.27) @@ -13284,7 +13273,7 @@ ) ) ) - (property "Value" "330" + (property "Value" "6.8k" (at 170.18 96.52 90) (effects (font @@ -13292,7 +13281,7 @@ ) ) ) - (property "Footprint" "Resistor_SMD:R_1206_3216Metric" + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 170.18 102.108 90) (effects (font @@ -13336,7 +13325,7 @@ ) (symbol (lib_id "power:GND") - (at 45.72 186.69 0) + (at 46.99 186.69 0) (mirror y) (unit 1) (exclude_from_sim no) @@ -13345,7 +13334,7 @@ (dnp no) (uuid "cc5dbabc-c770-4a39-86f8-20874b7b2813") (property "Reference" "#PWR037" - (at 45.72 193.04 0) + (at 46.99 193.04 0) (effects (font (size 1.27 1.27) @@ -13354,7 +13343,7 @@ ) ) (property "Value" "GND" - (at 45.72 191.008 0) + (at 46.99 191.008 0) (effects (font (size 1.27 1.27) @@ -13362,7 +13351,7 @@ ) ) (property "Footprint" "" - (at 45.72 186.69 0) + (at 46.99 186.69 0) (effects (font (size 1.27 1.27) @@ -13371,7 +13360,7 @@ ) ) (property "Datasheet" "" - (at 45.72 186.69 0) + (at 46.99 186.69 0) (effects (font (size 1.27 1.27) @@ -13380,7 +13369,7 @@ ) ) (property "Description" "" - (at 45.72 186.69 0) + (at 46.99 186.69 0) (effects (font (size 1.27 1.27) @@ -13873,7 +13862,7 @@ ) (symbol (lib_id "Connector_Generic:Conn_02x04_Top_Bottom") - (at 39.37 179.07 0) + (at 40.64 179.07 0) (mirror y) (unit 1) (exclude_from_sim no) @@ -13882,7 +13871,7 @@ (dnp no) (uuid "e0dce650-a313-43dd-95e4-7ca2a693556e") (property "Reference" "J4" - (at 36.322 170.18 0) + (at 37.592 170.18 0) (effects (font (size 1.27 1.27) @@ -13890,7 +13879,7 @@ ) ) (property "Value" "430450810" - (at 36.322 172.72 0) + (at 37.592 172.72 0) (effects (font (size 1.27 1.27) @@ -13898,7 +13887,7 @@ ) ) (property "Footprint" "Connector_Molex:Molex_Micro-Fit_3.0_43045-0810_2x04-1MP_P3.00mm_Horizontal" - (at 39.37 179.07 0) + (at 40.64 179.07 0) (effects (font (size 1.27 1.27) @@ -13907,7 +13896,7 @@ ) ) (property "Datasheet" "https://www.molex.com/en-us/products/part-detail/430450810" - (at 39.37 179.07 0) + (at 40.64 179.07 0) (effects (font (size 1.27 1.27) @@ -13916,7 +13905,7 @@ ) ) (property "Description" "Generic connector, double row, 02x04, top/bottom pin numbering scheme (row 1: 1...pins_per_row, row2: pins_per_row+1 ... num_pins), script generated (kicad-library-utils/schlib/autogen/connector/)" - (at 39.37 179.07 0) + (at 40.64 179.07 0) (effects (font (size 1.27 1.27) @@ -13925,7 +13914,7 @@ ) ) (property "Field5" "" - (at 39.37 179.07 0) + (at 40.64 179.07 0) (effects (font (size 1.27 1.27) diff --git a/DC/library/1521863_10_Product Environmental Footprint.pdf b/DC/library/1521863_10_Product Environmental Footprint.pdf new file mode 100644 index 0000000000000000000000000000000000000000..2cc670324eed8e2d987d1f0f5a8bd74cf42a850c GIT binary patch literal 234240 zcmd?Q1yodB+c$oO?gr@`q`N~pMMOZlV?a726&OSW=}x7Pn#>pzP#bDe$mIs5FrulQX7=Pfl20TDrQVgk7x5fQtjnKvRWPNX5nP?k$+Fs+WhimnY2Ak5&5evV)f+ zjMYMzRRkRN*I~sfr0wYk^Y!*}xATLsI@!731)pQ6Yj@X;RUGVOz$&ES;tqBI?^eD2 zuLlU-fO$HD?;<86EGfaNpg>G;*UuMb=Rr(>{)(Oh$gXQ>YXA`N!w=yH0Q5ZIs~+Uy z1OU3a051RlcmOs;6ucZH1IJt-G6m0VZW-ulXx~u(^*|!68!nz+ z7-Rt8;pyjlxk#pF=B&7%!O`&n96$sB>>TcT>l$j@z8pbYU6u7N*y)n~*9Ux)qw!zw z2aE`4-C||^{lb6vOYY$9>jzHg7T90R5q8%Bq$5GvJ7rvsXSnIcL4y4=(61p=5Pn3g+ZFg_qKs5NXvs;MdbV!+Ws%JA1nxbPXJK$ z@(%X>#f{t!Jgg!zGSaMCut0a1pP#@jI|nyAUq{wU9<=ie27uq@e0di@ak;jv;37+k z$Vf^Ghzf$s|HtRQz4%A3|DL$q+uwQ|=>Nu3Gy{LO{dw=7ZC?2RAbkj~P4b^@_L%@s z_Xq%JC;x1Nz5oEyNC2oE{L6f3F8Sq-pP#p^kWgS?pr8xPLGW@x|M>hLJN(h}zb5`N zp5Wzpf2-%qC7)jtM#$6X@*2`v9gWIeQ{=Na1G$<37 zU)TTvKnm(EI)EAA0Js4GKopPyqY0k;7&z#4D>?f@QuFAxZX01tpDAPz_d z(ts==4=4m)1C>A(PzN*vAAoM4ANT@{0aL&nuncSfyTB1}4(d)^2r+~bLI+`ia6OqhjEv2Pw)uwSnx#gH1N#vJnWAp+2DtVL0J4 z!Z(Dyg!6=_L=;54M5;uVME*pHL?uM;iM|mX5EBz$B~~IfC-x&wBz{fYK|DizLPAL* zNTN;RNCGFxCaEPECfO#%C*>qnCbcHLN19Ihj&zW8lMJ8iDw!&o9a$JzHdzDN1lb`u zCAkQ>9=Qj3Jb5X3ANdaoT#Bm{>J*L?krV|K9Tba{n3Nops+10t4=D>NyC|2baHzPb zw5eRF;;1U9K2z;dQ&5Xj8&d~SXH&OO&(UDgT&2;bai>Y5siGODIlIDiMfnQsO3anY zE5lcgX&GphXkoOmv% zhAM_hMhr$?MgzwCj0KDXjE79jOqxvIOqom_Oq+F zg@!=Opp%^VoYI_kI5RkVIFGM#T{XEHeYNiD4=!3RZLWJ#W9puB{ljL*Z%i$a1$L5#e_vFv#pAaAtP!JdT-O9;CQ7Ya{_P>5U?i4bWN*%##%br8)K9Tg)M(-4D;)r;+k z^NGX6bH%?(P)g`ZL`$?wTu4eu`bt(xu1P_qY^9z{O-NHo-;$1(?v=roQIUbmG|QaI zO3M1lR>|(j3COw0mCF5)=aPrX7s@YQW4~s1?d7#O1y%)Hg%=8QifoE@iusBQN*qd# zO2ta6$~?-h%H_)2D#9whDm5y{s)il*&)jq3Js2izgsn2MzYdC9^Y3yoB zXx`U+uZ69pqm`mHq0OZ2p#55V_qx>e(CeK#L^_5#Svm_hcyIXLXw=2fy{`L2_xnxG zo1Qo8^Z-3=y(fCpx43Tk+-lOt(Z8jit-oR*Vi01`V@PReV_0fK17h%a#(BQI_LYTvkC= zeb)5W?$#|fq&9Xo?`*McO>9eTk#>4^`F4l)+V;=wcO6t6G8{G>l^mZsuEDOsQemr3 z*PK$FemGxqe&W1#NAXVjolO^2mn@e(S1s2(*JC$5w-PtByNNr(1JA?OqrsEH)6KKf zi`gs4Ysj0=JIZ^`N7g6JXV+K9xA-pPuGQUoKPnJR4fu2WKk}asPzcBlI0-Zkd>2F> zlphnPB<<- z4jJzd|1m)%;aLJY(JAqBl4MeDGETB*@_343%BxiJ)O)FmPjsKuK4pCx`}Aj;ONBC4$(hKkJ6U7dD%ptVjL&19ALlsc4CgB4R^~C~#pRv7aC!0d zrRK}p{Hyut1vmu(1uKQdg*`>mMX!tLi{pwfN<2&EUKzaVd@c3*btz+MQW-{>f7x2O zW%-v1wTk*mfy$Q%8bsV1;En&A^|!Wf$E$Rz+TTgPtE}d%eqKXa6I%uv6n?Q8fb{jsiJvcGmfVxZ=e#HX6ilAmh_r3UN2$b4xW zk{@avRvi8?qBhb!sy*62c5`fK+-UskgyqECSI4hEzPW$fn+%vdp9=qu{XKS?Y&w00 zaVCG3XBIIhIoC3;Hb1anxG=Tou(+{wcL}*1xk9{>zRI%t`iJO`<~5DAFY6ZT%NyPs z=bKSm zW^%TA9*D$6KD*$#Xhi9tzN02| z0~q8GOmYai6JP-`4fbz+F0snx2ZDi#g^h!YhfhEVcBml(Fd&$i7+9Ft*jON*frNtB z0W5NC3N{gC97=sVTy`HS(FZ9n@irG4G-wvn+32(%m=VNT9>TwL$^`3D3B1>buZ`6w#-aZGILlc#Cv8P77a z@(T)!ic4O-F0Fc3T~k|E-_Y3J(b?7A)7$rPcw}^JeB$f3$@zuFrR9~?A8YG-`v*S{ zkB(1H&o1W$0WklV`oEnQIXEv2EG$ec+{<}EFaj?pPL74mCW1qutdDEwL&+}s0FO!~ z<>lKC_#9#eyVUl+Lj*KX@p;a@%c=dE*?%^%i2u>d{+QUG^O^*RFd^XPVUh!i0E!@l zZ{7_-X)VgfaG$}WA~-NUjW{Q{y(BtUi9~E)Exh+s!P58qC}Z9OS1W;L87j*kDkc$n3hkMj~*( zP{-<;1CQj-dvNn?4Ib#RmwprFc^n!DN!l&2M+5%wofH(+I`4IcxA4Zc<6bmCASrZg zf;wfHznLHY|C2_M8Wz$!jis_SF11zfk`CKBW0&tQ3)JInu-Ro`B>9kACIdodDf4m@K$Rj)n*t@Qmzcwq<7gXU;n}I?D7I?)h z4=31OhX@T-s!C@2_!sy3)X-j3jRGjr+oEASSV?Nu9c(vPIt@29IKCI)s&ql~(G)x41w1YVsKyaafFR87T z6?S!lKQ#yL?obFJkaWSzFRrkn(VFHsbI;oph| zhWpmJ>IRYhQD{JDjH$8`xDhe!6}(`~l8# zU;Nh2G4tILr05p`mF$Ve(dBNIfv1yG>4P&`6|pzkwp#`YPE8EG=95S*xJ|2d#g=~z zn{~+N#lI^|&CU6KHois8IM^iE=V#+%&L^jZ!&Xxp=|CHcS3vvol*-3&^ABNQ`ya@{ ze=aru$DS+_NEIOHodIC${`LpRAA&S9X-V^Wr?l0I=Y*#4wHyBL_6SiL(|Q;EEEiIf z<5E%ZUqUWm2kw@>@doTi8wX1r8t@7$8MF8|jL3&+_XmHz$Y&eygK|O1&$m9Y;FCAnpXeDo>fWwyLSqg9%Ly^ z!dvg;#=XEy2GGE>oU|bLqh?j1Xn$x!hmN!4SHxB~X*S7CsM4%Z9>jh()kG#JuJrm! zOV0u>GFGl_MNseZetPdi#iffzeqSn6^WX&u{q|@EPKrn>g zjt|$wO;&=*7y}W!p>Y>uXrNW|#N=U!;@+L%RVxPlrwIz{%136F%kGth?$q|dI&M*l zGRth)6v;8rebW2olP;*@m}$#@rx{fLoZi7KOOVOAegC;eH~)G7d96c&??n1T!Ch?q z^elFBoe;-b$7nb4l&3$h^AwNNXEnZ4kjigSTwr-blH)x&9qGI&keMc7Xq6P7+yzx7vrT&*c{fWxsgq+{Vlj9ns)ls9}RE>^ypQOcnEBIuxV{= z6_9r~DMLxjzR!83Y6w6RgM;aE8m?CSsTl0trT&fW1pa8G?m8Li{Bjb|(f_btwql{` zTThKepI+l}Di3x*cTkKei=;bo1>;?hAO02gBPt^+qe2Y$Ed5kUNumn@xcw2V_nMNm zrQ6w?5s?}k%Uc#kP2*nM5`+`e;#=+Ur{0~?iFg?&4l&+kW6zeqS0`>y(9T-N?Oipf zIzZKkP0wfsL!H3Cz(CHN=@=&`htz=qZ6|1|Hdhu;wQ(`m_@or_!B50F&R5Wi@f#JH zHU9nFa#cxRT~wPRRw~^@YaxMN8=4XUy%YYfsfnz#^hLV85f!^feya^2~HCAc|pxO|< zxp$7Qt;FtnotQVSB2Qdwj<WV)5uU^1y zqF?Tan>>WKk4-49c3ejTZGmuPh9*+L>Mklo9C3aOn!-LmU!5~lTiHF!RcrXf;mJMb z1N9)Oe{}ih6aM!>9#0}oT1{Bohdp3P;bHya1P4y;J4}o)z61cv)NZ2Iiz;K=5`6YhnC{OUXNlANeVn`exG+`4IyHDy60I z@5C6vSa9l1U}-eooUiu~9_ynjo_yzs&+k>2`ttYq6s_CIHv)zpaAdtY_1-13~ z_V^LowVZ(~w^EhTCrwx4Q^o?j2b!WZG<@Y^-x}P~SEmC0azOS*^ERMpAhhi#hdGJ? z)SJ;NXy8qEHfVp6$JPFFjZu1!(h31~n(EWGg*(wAeUhicUUrh{tIb2!LM0)_*+EtoH)0C-rF2W0 z3c6c*>*|BCN~9OJL~NZa?#<-A`nH~)V*T}I2F-9%+5~G9OE42HZlapJxF_|~L&jKo zH$VK6w*j%-g~BfmKG5Mtklq7LQdWr13&Drw^>wsod1FC@} zG-PCc0+|q?c-Vc62DGnM7&fyM*gSY*oOP(Tr;wZ<%g1pGoIGacUIsGuY~mug4i%NQ zzZI&!D0E7Fh&Vg&x*+CTo*ZWg0rkJLVaec60uXZz@U4+X+wAk2!YABjFZi=sy%2?A zOnE0o{MU+qA;6@=*LfWWf(*#E+W|lI)$LuW^wohN%`Tf$qP5v0B9=2FA8RY?f;|(I zs%+rJLtIpvrAfwbV1W*)w|vT`#IN#-e?Z9yNY$1GAbrqXU@7ZN>H{;SF2*O%?M1_~ z84aS%V9DfKTCJFfj#GM_%w#*`yQmtYhTK8q4ttxTQ~CEoB^Dz>R-4d~UuRbN8nR ziVfa7^%~ybfCdU_#u9#kHKAW%?Y5DP`B(UOnb81WiW8-W~9gKX{+EB#D zZbI1Lz)fLc>?9&I(A)JA{?)t#4P4K!py?l?D+#tapM8A@qtZ8Jz^2kyx;&Wm7QHYC z2jxtM*f0(R1hyg_Fm~iyx~dYfCydj{AKdLP7WA!CU{%J7z++8T#v;?iBD+U+FZP_d zxu-i9Tlbbu=^A{(@668O_r3w*IrxU2~q# zNetD#9MH!DiHSv4OxniA#y}Y#2AvibnKE7Rc$D*yA~Af)Gzrz_i3WZ`D^c~NQEmJD znrOhL4t_>q0yiC8fD838RAl5MBVxH<)0#X+nxcWEX%%B%$x`Yx>{TXGX!*3D&uuLU1W>(2h47|eG*H6Oc$(Y#Y?l-nYp!`-mv`pVRGK@h zIFv$+vMH4V1*A#>{0f(zeay1Y4SGLE^WZ&lyr;#j=_e0BSeb}G1D-5rcdHOtZl)dw z7vxWmPs7UjW?NZK-klqNb-A*iK3c(X(OJckbu)xXbBlz+RGE!Zz76h0QG z(-g<>OmGW!$5UX*%q~r~>~-(ll4werpRLhol{9ZBz3axlV^=jA5Zff+d%oNDxB{tJ zTr}*V^QyKh)E$3-a&dJ!fx9-YD9wRft(oE@93vbAGw4WR_FP!Cn#-13D5d zonBN!k^#-0!X5EsKD{uur}IyvfTU+3dh@49nb&=H&S%DNd~)#axbSa~hCGIZ{LtH8 zy>(>;Ln&Xzfot9TN| zr2!%U7i7fjruyNkH=qbdQ8SLHdr>{PgkvJjRs9^;T8jxfa!t(CM#@fH;W)0>cXuA= z@|{~EUYKfUy9MJO#eekWs*jHlc=|Ajce)!kDCGUpJD;d`*yF42w=hk<1vEgZvv5tV z(NkA;v^qfedBp9!+!au6ua&%q!uzE=eL}j-W>fQHXFbRtOHfhV$#17HtRpYzt)XUd)94w?Ed;{} z=r@U?fr~EqF@q6H45bigy{z;YK&9d$|$K#VvC4Cdg?eIgN>v2M$aZZQ#9w1}?Vt$hb| zc9W=juXldMu)~cN>5v({Xr=3eQn>U)Oh8X$5cEVO(|$#5zHMI69bBW*w|I8RE;O5n z9ly0lU5`eOo)D3W;?s-1Ckv1^j3G;w(wI+a6iuqe!{Ct7h7bxOPX`Jlc{Igzrx?P-Y*2Q?4WmLCKuGj09BdE*f|Y zN&&gqMe(Pje&(^p>f|JaNfl`l+}VWP8-_xCtXLsc(3E0>IP>kcicb!FXGc=6@?%p$ z4eA5-WeU@&BkIM8kqP5ZYB7HFP~iW5dDW@uSZtT$W4lo!eg9u<5!2jeVtmT z+1E-rG-|4~5!Qkz3U2s_whm?R(QH1RV1`+z?e^%VuUbCQ=WU<8S(_Z3*Y4^0w1d%d zF7JCyXJq`=*OzZw?)sisp@GWT81gpJLo^V5?JSnNoVXpF6%i@5N*y!91bGi(vDJX$ zOl?dhC8D6S74=MhBKjZkrEO-u908iJWznPPKKE8FuUsOq9<*{$9xQ+ao{O(%-uJ=`_IC@QyTYN#6G{0gq85_M+T z`jI(jeBJTBdz3tHe)#YpA7&LES=ub$+#Jm4ws@+jTH;2DjZBErgpJYoO0}k<{cz3} zoAOX4)uX0oiJLG(g6C=bFEuQ$gp3-^E_89hR=-Ff9?Kq;a8#6U6`4CPMSZFr3=eYg z0?hi46tp8mg^3&!dUEy1Pae?9Ze+D?uI6ZLJy6`@yKdAPk9V!he#rcrU|#g$*Y2IB zYw!+5ijsqZcYFBS?8%Y3*Tqd|u5w{+`DoBc#U&HHg?iA^`DOSx9zC*sFPi-Ey=c|* zC@e=19gz2OMDQ)f9wVaeZOpnHxLgrx4Hf3FpmA^d+Izj!S4X%eIoNjhHC62%Hw4Gt zXT5qmE;4%vnRF9WiDF8iD#YwU3BW79v~A=TfD&sqku)*N%)XnOx8PLmwzk72=_cBB zr1?N9c!eG1oa3e7vn)8> zI73ye!7=k&4p7wApy5|+?72V#RUkqnP@FgV!E$h34xc?2LMavGfT+S|V*UqXD#&c> zGa0+G-**R*@#a@99+fNpEagq=qz{q3`Ri((qXfKd_bYeEKh%1arCV|@*g zF73a;JndNnlg<{Cl~6NvDifLdzo1*lsWvv=&{dTRO+l_!d z&lJHT*=H5^bW$Ll$2L{VDPbXt>&|klx?`#gS(>AtEuEPu*+(VTcyb$i6YND6><y!HZ5o z5Ten#eJOi*;_r2>_<=ypfF9PaYhX%0Rjkp_sA{q@@&g@~ZG`ftz%;Rwy#9T4f&XVw z8z_xc#5*Kz6V}Y5`K=Fy$U9BaPftAso<*#o2I3NS`;Zje(~XiTEbD{}Qyv<_gc~wy z;}aq=>?!vjelTV-RP3B4*__6_&*D#pjPH)RTX z7<9s~y-5i$ZqTXu@~3dm8nvxh!aSH~Q+^6iIe`K7OC|f;@g_tQF%=Z3n|c%?U-4{n z>s?esJ!_htQGx<;7>;E-C!RnrQ}%_#ttXcs)m4r9{Pqcb(WdjN>O+|OH{(2x6!|<+ ziw6;f@|_7O<$2eP$~LBQG`8<=^qIS5XA-6MPWQbhD9F#}zMX(WBR!_CWQ0*VzGrNc z8J{zo0ZJfG>ZNiF^(cV%vVgIXHy%l-)Gl+Q?0=kp_7g}pNkCelflN4Rq3t{Y;rI`@ zLmC5`lB+jRSN4%Zi+=j*?)H}t{{5g{nUl+9sDLar+%L3;5JBjkfQEr>W9J-8 z7xewpCB#l_`VUks5JsoD$CmJq;W;^aa^+jZ>NWiB)p_aCR;akQLYOi|?^ z3fEAk!@|Lh0wVb6_!PO`R>Q!Ul&kLVRw=}v>#R?4*6RXqye?XC$qvl6i4}nnHb3eo z|J8*rwsqEJ2MP#Kg+Bj&$wGXqufrIEGK*5u<=E*pZNtV3Sl_blXRdA7s0`gM9B+5I zHb^{zqFxu(iZ*Pkse8E0pB@J5>hP7i>KIGw0CmL2yh(Mi{03n+TwTUQ^I48bft-kZ zIYv=DwfF0+_^^Mf$@_k0YL)H>9BS%A`A?qQzj&W&!3wet5qJKJ#>R+`Gj2_&t?2|zW3uJQp*}nWJ?cunN4w|c_l{yX$V#(Xvo`er z-We5b=ud#?iN&RZ8eg=BocIyypiX6s^HQDa7Pede0~Z&6KMnPo>SHXw-l(g|@khOu zPOU1=&Q4&2_3Jy4rFSCzBG>w$siAHg2?jWgBN7abboa9v>Z$HkkMC;~9N5{gkH7hL z+;(`DIl6Xm0ixS7F@o(dCd+1K-l~L~G~0yzE}>s6wEYS|yJn#VCzvm*+QqoC=b84Q zJ+xMX(0lL0f zPsuGqh|4we=8g9X)To|n)JW^&gqueqwNjQ6X=Qfl>?LWr?znlVAG&yzh2#?-X<<41~cY#vm+v#a~g<)R3(1RIi5m z7+yK1FjD*Z+p6;Musw~ygVLXtbt}+5>Bx#9=ab9~FxR2e7I=p%NvwE!U^V z1=~U^yp{rRg*-42oFexvVB?H2luF+`^Oy1j6)20vo!Ncd;kHc%2QVD<);P`S$KTKI z=0L2a_PkJ_9zTyo1KW}K`zI)tO;5iy)||9)V6Teccm&0Y(cllVnLo^ZvTVKHYK6+j^NV9B-=ejx?6gCrh%)BM zD!*mG;ZFN8TS{BSK!A2rPZa#%`_}U{V#oId9`Rly(8Hh=!e0c9S&bl8M})Q{2=B&Ja3wm@u_|;NXe`-o zrb%hCGU@8fW}0!29&*rd_)34U<**QytSYFSj`*4a^VSxfy zXN7XcCAJK$p6k7~lAqEE7Dn`W01h8J2#~Qpk6cLB90^+86K~V^R5&nrl_A?ISA4VY z-)R%BT>M*fzLL2q>2H=R``ejfZ(8G_P3BKf_DvbUFC}d1PbcqF<`eEjD4$dAODhJu zEl=-UtRM$Z#=7M{9@n| zLOm$Rkp%VL=VR1Cm^0E1OnXH~<`I}WE}ho31S{^HUgIL<18-+9;A^rtJ5QX6<$lF| zxdDH-cg@{0^gI-5(m~T!dIfAmCA+L9B_T%tP07YN!A#J0#au(=3g2V-sUd>6$YfrmRk7 zKx@*kz&FgGKH;@_Sg+sp6h2>4J=nq%!Mevach|R zGgxiG}i-y7e=Zv7@ZGXR;bb$?K7*q{G z?~`{S?C)OkcuK6xH8>*WdGUyw>YCSyDKj}5c+rN^a)l!wX(IVa@xVwG7(9VWD56vl zS$9f$FLMpa4YL`C2MXz6g5tqE%NZf~J0Ou8!QxTOF<-Yq-qsv+Xp6^<~Sy5eJ$u=7k2dX zXGrb=IT*;9?jn zyte=ZoKw-L=bL3KS!WjiEa>=qUDqLLbS^dOOTCpZO6-V1Tk*@H|0$SdQ{2E)yhmuv z)M_RO=ys}#JE!dSM6d2KmoVjf>fG6rIDF5j>`8)Wpll=Sa9&Rp)lbQ0$mmOb7B)Uf z$QR@xU~lTNwP^Y>=5C}Ktv;CgZxW*z=zK@f`Gg{^+5)BCE;aUZY7j-6`#U>d0w|0s z{}$#6tC5nC%8BJ<&)8d#`}ZH=e$16$B#KOaPXppzYmEM!s$f4`)N9s# zG9_c&eO;^M*>ZfclqN5=9~84D+_sz`mini2Vl%(Q220H(D{AbmhVWd-M8KlpQDmad zQl`MWWKIE=UasIPt15ULChlPCOigIYl`e4I zAB?_d;A+j57@Xlp@2GJSfp1)IY`S$jcc7|ml5%uvE<%*=$H&jUKU$rVt-^Fb?XQ%d z35}uh;;($$Loc4HwZzNL%D=toeVHP;EUO4YM=;XSmoz;PXmN zgJ0C0_l%6!ZLv z2cej3^%=hH^9V3k$$he}cbE!qojoJ3@FIctc7T~#XC06cfAC%XuP(g!;CUJUv-u#Qp(0D$D*e?UWPn@s*#2-}MR!J8= z;2p!-WzqitIE6=HN&ED_3NJvh`Yjtl;?}LW&ky>9OK1R0)b^(xvc!X#-0`*zFi-Lm zipb#mGe-&zRE5!+d^yTxxoN=VD1BusXJ@MOv&h(VmcvQVvDCTxIC#mynlG${bi$Jyr8vmz6rXYw%~KhV)xgl-CM3K{Z{K2hz3XwyM}8JZ$D3YNZ2vG zqiiple0vT}_#o{REYORsBvzgn&|{-E(S3?22q9i5oN%WZURS=TaG)>$kVwCd-Of1~ z;rr=h(Pyz*d&Wq!0)0xWu>BWjiW#SHYv(}FwRZxU26XMqUX*S~A}*bpJJ zIDN5|S|%8}m-R8J9mNnc-8cBjnJAXTm!L4VHgzfz(ABOr~D9?SE)*9=)_iA0VU0Ovvd}AdpU`GJ8 zz3K4W(fL!`JY>)70t2j{!4yXWC>=yGcd4O^g^HzN;KbP}ix`L@Fc)Ueu%u8ppr~CI zJh3VWfFMB?KIfDNRy_It?4mlo!~<8@4^XX|AmrQ)KdD1KAVvcZvfyZda|jLKk;cJ) zkj zhK;v`M$d{bSq&7V7dUO-y^bwT=}%T#yW#b-+jaXAdw)s5k!mw@UBUpZX=@qsOeQA0 zUnpSgcNBmK+cim895=WoX6PShtlsJSoc~_zD;XGoty83*Y0tv{Otc-CrLs{pOqr1~ z<8aa@Pa7JFZIn&^2v&h#mMdW3v69_29-CO|)h!N9P!Fz)2yt(0?cG=A+e>g zS#zNW83y9_!wpa_3LMIQRbKy5U@9`la!OU5bnK=0b1~3HY~sHuE(I})vBpb~D{&e2 zFj4AEHmL84KUZNk;uFKOWQt4rV`yNj155)47#--k5x2tgMRPBUydRlX*VMN*L=2B# z&01JckEMN5CdWu}6XVtT=T2U3!A@?d2_pC;aNcY4+^%9)J#M2Hgp-Rt2j-K~gP9N8 z2mR`~$}~NVM2pQ9OFjGdukzHL<^)ECDB?!@^=>Xs9+Sp+c>*iMv39EGy_-YPla)SSo*K~5etYsM z?G1ygmdVTJrikwG`~9!6jE{!oN3`IN$Qtd>3?vYC zg=0BUIb-dY^%;rCc*WmGWqd?IgXhQ=&HW%F!Rey`* zmqvHC!k=7)6P||M*=yv^uNC>lwIJtSE1MTLts7tMna%1JI{%p=2>#+>M~sKKN|U z&hcL4YCk{Hnr!thivnwQ$mI^gY$htel`mucNg6dVJQbAAXVe#`U)vC2)Hg{)*0SVl z%Xr1mv$yc!qjV*O!%%V?d8GK8^o>rJAPCu7?Z_vNxwHd8`}ic*x<-?SBl z5}WBQoPses`VOzWAMr_KYFEG1JbR2AmJx=dsd3IdNGGTbL44#}}G4T^%*eeusU z4Zm`uwR;;9P@BSGQ`Y-2JP-`yDU|*yz2nVMfP+P9)J~v?{&FL53qpjRI6q#oIo&Aw z{^BfVixZ`vbw<#PIq&MZN8Aq|ymocIjnd!o8S)v*Xy@=LN+`W;;$^wyDe5t(Ng@XS zFBLWfN}YX!Z-C0^hem5BB5?%_9SdFf!NL5)(=%k7p)B~?FPXr2Al=Y5(v4(K4DlIE4C@waEkHRn!kIlb4WBK|Lt-1UFPOXlhihpZc~I>?I-N z=)rnLMO0+k{_pj(2NqroVbNB{ z_!OS+8|EF(8)qCmNS&cD_jP&P`MZ@ip|#ucYXKBI$)d=*Kn{}h0uDF!geuF!i*H)J z%t5d--dAf`{*_N0JW9S5_us$MF6eDFwWG}+3DBEaR;LOBG!Efq;X=2yr%(L^`O<<9 zL6u(kB0qLT-eLg{d9ASDmmvGwftMZms(%O1#fA{H%d@TJ2`e92a=LLQu|(M$>#1Cy zJ)zFrkdBTcUnhnDGyf|pKAi7Q^J}2C)OA=o-2Ip`>TJ+D+mQ4;XsRwW_2U+_s!1D~ zs3V^$M-vzz!w&`yM8JO^5dZj%kB?R=(AVug7?zG&pV5fDA8c^P(WW_7<3mc6F9|IP z9%~{VYl@A*iI-(`C%sYYOJ*9w^RjwnG@$QK%k1jUQgQGq;K%>AtWwLebVxtpejT8)PW@o8Qf$| z)`wpns@uC?#72ek&6QhSnoo@QB5D+o0P^XGH7_0?S+|AUM>D}w%{I`|82Ef^T8 z-`PdL!1J03r8C#O!JB_G+8_UFw8085%nibVpomHBXPgxaHIMq=LiD*DMXq-ym%jZD z72wEgB7NqzmUS}I8oo;!XJ}NTd-crHHNfZ5qa{zTtpZvi+WiS(ZbH%L&5yC)3xd%q zA(_guRg_b5sKVk3qhGwG zg$lhzlR(e2=C_SHk0FXpslxm07+fq|IYMpvw+e?mxEl64_&BIxydSk-wyGGi5hQpV z^%8XjL3d3jV7K2?r{oLNY%dctJ-1BgTIF!z#dDwvbLw83XjOZO32MLA{Vj~;(s7X8kzL)XpZkaKrG#hTFkY~}6U%5@X^gh66H zk65y<)R}2f_6PWwk%sBEWh+{PJhwi(vDd~{)y>#(1%M@TU>5z-y#LBmACX2LHDs8z z!eq3zJUG1O6&!TLH?}p?Jn!u?d^f2!A>TdLlVql=aW*!Sz=w@8nfjRpbU|1)TdIXW zDsH^2oOzqk`DwAxPh{Ohj+aq z%AkanHIXM3UjF0))cIc$L>o!&g7tinJ6(A{X`i40NICqJCh6oR(hLnK$0+X7_kh;I zEhRrK19nWBc?=JpfVT%O44x5bqk-^oMP!^Nk}HfK^fWv3PKX^qhrOnENFD5pE4Ga~ z_}?Dfz^+4~2kMDKt|tbnSjQCnlDqx#mEI|;4E-D|>S{y-Vc`>+ZgXu^(-A{=CbV#E zYG})o=ITr0E$aSO&I}sn77UJL)QMS>*zu4;` zaX{#`WWMA&UGeDffU4?UW3&Hq`uL1nl>WM!))ML6%eBQ`oDkVxu4C+D4pQS(dI+l?R=RI!?O&-(N!L;}Sn)4>oIn zz+&p1&=M>41tM@x}Y)X!gcrd+3_yMLS<2Hi;Rmm6PlU071ldc8)(%+7n)0#s*f30eIS8#Ka zZ}YcD=hg4eRw+IW`0)1yx2Z|Hsehg>$ie*ca#(Z7>5sV8{zoI`RU#N%p8vO>(EsMD zF8&9%3tJ;{95ha!wnv_LEu`5|uAeo{ti1G)eA?vo)+$qSr{RmIF%4h>9z1^|sQ7{MZh9yganmP|5a2#>Nb(YhfW43SECCMNC!3&{L1ia@3_YSLn!085 zQaEWXgd*ESJ&0MjeB@rBc-a^KCnJ$*iN8N1msiwP|sz(&Li>DJEJOO+J+J@cPu{AYr{s$vhA(@jrWR zWN|yIDuYhM0{<~8E+fSVp)uBd*I@qO^lJg%Bp`P@QvPiR{?D%R|BitX1UM~<@Pp0p zN%2uX{0)1CG2H+q4)w6Nq6%_5>0S`IXNey)4q-!QZ2xm#3^(d_&N~fT^pv!-Js@uX z+nX=0Qj5oIKP#eypx*me_e&aSG}K8q4uuFW(Jqu$S0_|##X8eJ3G1bp$c@h+(d-N~}4SFl^69ZMclPd}G`Q2)aP$u?Kabh{PfY-F6Udxs(R?S?t4 za-tt7aIgA`(4HD7BxyDE%1c&^8;d9N?tTM)rK12?i#+t>q5-eDi)jRIf*B?wlSlR1K9^Ddddd}J zyqi0=&{`*Qt=Mw++pt6vB9^>EYoTw**nEyok2~)2U;@>kfti@=wZZO!TD^ckulHFE zr!sV>pOgp%DD3v2w*}ju6$f_Kjq*bso7)L>@pvHRd6e@Zx!kSf9Dz;-RM|?>X6uP( z&oS>!DIZ~(F_>nO@0gk~u9L0EkK#_t3pXubq;FU#ndYrXavHagOX&bT zta3alskO8eQTTVPeM7(MIgKIM+I>(sNy;B;v&$rk7wW-#PH939v{X;iztt*Av4ci< z6ayVg2x6$@cZ5~})O@7uzWR$BgLd7K*Tv#ft8SK;icQc3-&uuPDoNHNVcEa>r2Unl z@qLy<+?^xXgZ$>S)$Ys^3gqIxB!tA`4azc2CDoRuVO-qrb1g(ViRdbs^Mda-K{-#N zKI63d+l*~I#L?jy>W&(yw5lU~8}%LuqZBqP{)$~|6B?&y-4egs9}>Mb`@|2MCxkoz zq9D;vMivN9|3vp@7y?P{ksWhcc@);G+?n;GQM*jN^eAA=^-2a=L^ zGd{Di=%$B%8&})gP`(tpJ8RWdALCjGt=+Z)a`RC;mvu*+-?#iMC0=DG!3aspFPe`n zPB7t&oujofv-F~b3)|;!fxg(bl^OwSQssaF4Ll7+pH3pit?(i54TqG|0H*1dqB8OY zFBIdo*go-y%OVI*n%CNYa)cCxWCMKJePs zmTtQ&<1_sivd>hNDDRyrWrAt4>l>@?m2ORR?oC-GkMm-eJkbyFWjuh!exmD4M^QqM zpT=y(RZ`V?7nGIb=5KdZ55T`524b!QKOn|&;|8@=(ws~aP^d+RwZI;O!f8_!IQLxT zL9AHmc%kk=bTJ?d5;}Sbj5HfaseeNM2nq#ri6!R&4q7MH5miAszi-Hh=-E5RxC{6u zBmgn=^EtY#a{c=GE9}h4Gnq~MWj+d1hvt6@nQtiM)-yKrKNKO=>80-Lr#SN1qR0W;f+Kei>|Ts!_XracPe7mR+gTY}mQxqSL7mCI!MM0Rep;Cpf;VFw2X5yF=x-`N~Nl`V9%@a()TXD(OfM?L)6^&f;^0-9C|YD zZg+w$rO4@hNqE*q(hao(J>oJQ7NuVD(wA~AyMji;>^CB{F)~XjSBH~vn57p(Ks13~ zA(H+!LPhymvAOHm_f~c$OV@H?w7J>v-M2Sa+gcpE5|=^W`X2wwEZD=zWD;cONbHD? zr6-p6Ex!U$STWYJf|9wlyQVjs*6HNqd5M=R_p3LgJsdO0VsWGVX~^eAW%og0CTOsM zE24PzE)-hBgX@huOK3ZdC633l*P)UaJ{7+YDmU;kJ#fw>e~yG-BjS(Wf->9aXFmH~ zr)b8o6X-)sN1uzwCyVFaoI>Y}aqz38vrW9r{~$hoX?xvqs4=??xliH3+0KRWZ*TrC zI}QYeh`-B@F(JxnuC(Dzd1;SOcINtA2Q?d~%ii3b9Z)g?ZQ_n6LlHx%u0UK6ybii| zJ+3-b_^xC>3C(sZLC)Di&DpKfj2iEQpN^!66Ej46C7B9(sd%p3q0i0cZ>|@-H*gUl zzSD2oaxSWODYWNu5fXFl0maz`gkMv+C{|A5tH{y)J$ohAP{|uczJkvSd5=?~%IMz2 zW*jD&QfPm8BaE+ke`{`%yubGv9)nria~Kcu{5xvXn-fSw*Pn`a$~_4)v%+|>H!dfR zBv+5hAY12$DfbCAq#57qi6Y^3MFe3&2&-x|)jPva%iBf=COfHJ9N&cb z#jii}NDPo`g^QXDvN^a*>AuQf7EJheCrTgf&Y#I38GS>mFB)SbYN=6Hc5ILD?FMw# z==&lY)3i&>Y2RfhLdf>~xN?Rwt-Ou39XhRHo5A(MfrQfaa5}V;8rQ5-&?uG{PUJul zS_fS^(t%IXeD~n+#+B2B)AUnVHNB=Iqk9eLFc5%*R6St|GfOlo9yR;YCLCW8W{&SP z7M1EQIYB<>HU5ZdxPMI)Q9kc|_E{N$sCCX?hmEP^o{+v=rr>V=*~P-(?Y$~ncn;N6 z1=+hO1MN5^3j2d>!PyxtZ&I_o%KhPs^U4Ipv+TH$qvb=vD9m09y^CoL#?gn@;NQ(I zC>?cl{U|F7i6_4n*b^Vq4FO9SIHZ`mswSPzo@$I;VrV`~Xq^+6@zFraeoR33;Us5b zhb_#KD(+j(jKwjI-P9@4)Fm9JXeZW9_1Bga;u9%G*HpE~psMJ5*))9JMW((Qg{+y_ z*ABPj=?@53B+|Q`ruf~nHYYY-@R+H8r+C@PT^L-U=`{TSZS`cJ^0ViGM1h^F2>Cfa zl}3*TYOrC*=hs;L!4i6qx19Ue(i79k-ae82l70&Q$0v_l{MDN3wiSKb>_1QfcU<@=KVwQkg%=ZJ_T9^gK7)4dt{9$%3bOd49D?*RwlWVvt8I(7*{<9+ zmE7Y-4tGSedhqmgBThLxyRi%vkuo4@mT*0PdWJmFy~_XO>*&}_x}(HnS`P(<6U&8g z9K~kqBLz*Ni@n)|?@U73dRSXeIa?vu!-e^Y5e$V@h4n-3`~RGT35bY*A-V7Y6L0`f z;YhvA$)x{ZPQbnKT9GT@6b)Woo~P@y1rXj~Rj-+pfu^_jMSE_p-9xgfjyp3OD>8JG zQw@iq9i+-;At|3(4MyRemb`Uof*zG1RXBwL>(&t3y7vQEvk((Ws(#a$zLHROPf6oJ zFrC}&DU*Uk(&pRhC8qcz6yT$_yoc4fOf#R{O1-#dOnl}iXE<`+IN>0!C2C;g+!T`~ zLfIK*&6EItf%p~vs<;hsMt4WThxF;L|J+@U6NN*v=$0a?V z92|mmo4K)m*C0P7uOECfWY%$b;@0Wx$(Iv`*K+-t{S2io?-*_51CzQ6+N1{-8hQD8 z%;x!SDZ3sDYS7>v`d|?`))7;Jf^XsbjI>qpK=A~v4h9p*pO6`ZwxccyzAm3lvz}Yy zV?iZq5ER{q`30DY#7+xuQr;jx?@e3RVi_920?(VjZP1#A`@sl~Ss?%z2tyRH4=F#8 zA$(-G=Vvw~5Q4q2pG35}V8}VNd45Op3T>A)tTMi$9C5&96=iOogz?0ar?u5U*RzA>r1Rmh54|_j}Zns(7|C8RYhTQII@z;45~sJ>NZ^ zSm@w-j&b7r>&~u$6uWwR*=c7T9Oy)*1-By4uMdG*$3FVS6EIdcFUKlu3tbkB%%_*> zc-~06!&omYP*$k>`;dUDDU~L#8gWEx43|mZ$?K5CG5UYc_b6z*|Dc8t3&~Qz&DN0&HgbQ#Y5~)AmhwPCtE96{B6ZV07wkU&e^ZUvJVA zwwdGST7g(nEBXqI=>O>Iw12WMuKh+08T#DVr|d&4=e&ik*07yJPSpJ6 zrQO0*>WrLTH&lJeu`cM;9eTS~i*7)o>H84d`?oB}{D@IEPro$7_bYEr2ZX0V1FW@S zEVbCdIDs8EyG~jKB{yJi-}sWBwr#higoQ%S>*Xv`yAl@M3Af@>XLx;ry(b$98BX4Q zaecqhih7nZW66s_4oS+1rJ!4BUW&ze+_y)-S&;kAc&qQ(k%#am3^(g7d|PU-oA1_MtH}N(>di?OxUR$C z%ABgtC23Dl^&XIL$4gnNXI~Ys?#VhwkDB-FcQ>JK_Pxjh0oQ#HbpaL(`*wU_Q*c-E zG9^X->&C`<$hOJ`Az4~myDh@)qIyxBYoa0V#45Sp71e)w70DeE%#=*l+fL3?8U?-g z(i^!YfHEG9tJGJ_{oJ)`W2d~8yf9Sa+m6JV#>9App}mf3)Q5;mj2}g)@if88i7lCR z@jLgbCqvxI+y#{#<2&PBr0pH-g`wQq5jxV4X$4mh|G$P{X~OAWkff4VnCFXi5PSv- zD7uxJIkx;@#W!<6cc07!hiozmxTO7n=o{mUeSyk)Z_1PxdMx|m1WR(3H)4czUjGJd znbbc&LhGaq)2SPOfGHbZ{Mf8=|KaI$U<4zFsvWh~lyO*b{j$lcE;L=-wlJYlm0ceh znu8mabOnX)gx1#SrSnEHM@OKKPQ`C1_&v#Lmfx|ke>mc|smAH)U>3u8PZRhD^0*+R zE;qTQy2&)J!k9J7)7&O5kvI@q5%+GdVk%~5J?ary*rkup+y(U zJ^qv%+DKB<;(f2+HHsMbO^K;{!86(GhbJiI^dBxrkGwLBty}LzF_dY>;rgCMjJU9Q zaJ6#Q-P>kua?LOlm2D)F+`|7VR$A)7R=8XEc7u&0TfIa*k^#!Q$lDQ79*z@eUD755AC&c*IcjVs1^xUflVHS+bm` z_xqsy;)(Kac?F?l>Q6o=53X+0u{jisEHAm;`comwJj=*nJo%vi<*l+;WZcD5v1^cG$|f5F_Y1MO23^+sA62YF__s!;35YDi2^~0j(z%rPm*xC)UO~BcPE2M~=EU z_K)<38$3T4?}3D^Www9jr=X9n8q);vx5tk|Hox|!S~F%#v_EO4UK`?pFti~IAEEGF zTf&Nvm_1R;m>7j>LEDWSP}M<`0VP}{+-`O4F)cz!zmFI~Pxxz)Mv0G9Jh!8gTiD-l z&6(ajMh8vJxP3x<#i%EB75D&2b4c`HThPbKoXCyJ&EnbJZ|;W0_LXnnxr8EX9nUje zYrDY{%r;ap06dJ{^Xo_h=VphndT=JHS3aq0!i>-{E^zwMR^m?p+FYs27ffi{APLBx z4qLX}54aMZ1%e5d_RXdcYW3Wu-=z)Q-(IPwdndc-URpay)gU1Q#2w3&$?HJ~{eaRgqEtmw)9Jpo^-Qx!Q|w@IB;oxrd@r;HgwipB*t`hqZ%$NMO1CG* zC+O)xEFqzD4-;GG+82tj8VFjb%u8g7UiysmG8O>S_D^EV&uz;OsYSaK`0!%2InK&o zeA^oQv{GwOCZc`ACc>ox^z<&Znle$m82@DT70ZoV3tE7HC%qJ8 zF6E#z(%PD8#=NMl1+^>cY`Rk2vK+-Hxn(@{RPuwlrL>WMc#UAfpQ6w@Yx5ynAokry zvJU>)v>ciAL;Kvx(Q(yrrX{9N5tE8b&#V)~YS)H!6n*F{#mS=Wjr=lFf`XPZ%EJ9e z_0K2cdCu398-iJD5$afPk$FSb!ns=U$lvQfTnRUS`P>32Qu(f0U3p4rG!2>7rX+jj ztLk?wSo@U$AJyD|Mj!EzD`Fek9L%Offl``R>(I3La5&V7bJyn$qta$0pM2Sd1V!|E zW%THzs>*!e#NXaz6XO6($D5O| zD|)lzJWwfq0FUrrVX(79UC!|x5VsFgX`M3^04j;}ks=^JjDhZUr1ncJiQV6@B>TXc zQZ1rnoeB1E`puU==8AP-H4Is|$E6JSWuz$HNOmZp^k=?tXyC+MO0oNRnn86@BREJT z^@~va*iE3bFc}8v?obfRIgjlMG@*MrlR?axi+G2L>>n0l#OqWWf{2G!Bs;FTwhFJW zl8+U;euRL3|B*NsvXP z09EhGC%g2^{`mQ;m3wH=nL|@7BpIZF1#HJ~t2ZYyCD)giwWuU7@?{ReNk8J;uZoy? z&Mh5m8`{*<+>0#Tde5MPQ}!OyQlflt^pwjfGrC%#+H>`j%Oux)q_W(Tenvpt>x;>3;T8l?$gy z0m5NSh++&)!%#$UTE8Z6mRh#a26=T}NSU~k>EcoWIdQiv^3Z$0X^Ta; zOsr|qImS(IRETChT~h~Dj){g$YngDQ4kYrW+y*%EOUSyG;!tbZo~Br7=3`IaeJL

6ns7VOX^%_*Xh&kOgO_0%6#*x|HHLDX1@#4y8WONX=;1s*>7;+Pwa*9j%TKo|L@nfmyaz%=;vIH0@-0s&Qu{o|#`ZPM%k<_q_wZSIi z+D09O-nBGu=cKGIz=(NZ*emnW!X})vaWA9`#fW9wME1zBt~y8ogn!Rq>i3GoziSk< zm%vq$OD#NjDCL2{_zSr)sAyF*pl+^IPorIm%g1$-+!~N3S27d4Z{CRg>thHzKEKaZ zg5)4^-~$8-xt+kNOYR%wyh5k*g0LzDx#H|HHA)Fmqh4Bok;q8jxB5*G3)TVx`ir_8 zQ1OZgU*7-8N-Gq^+C3Y-obs1=LqOrn1%xM`ekN zhVD$$s7K?t7d=ijNE7PrJBm6eXxi7!2FjCYj1AT*ruN!A%J+uOs&mK_e>vKSje>M5 z&}@zgO57RT$qPGVI!&FIB}n#;y}Vrl>mM;*I|WTECC^W;@VzK$w?owwzV#BtET%nN z&ZQ#nX|~lF_Q(>w*S1&^ry1-;rPA&Di~SR=t+5@!>_3mMzKYg} zNOV1==-z|Kv;$}g;u{qiuhuwB@@$MlEuY8M57S!ux^P)3~s$BCHBg>ju5%r13IhF>WQ+ zIhQqi;zuS^t2I^FgpM9t&P#{5hhzu!CwfCwgEp4{FS@HH24FuVwbgxss9y=tX0Wj; zg)n|Xa!}o5oDFvmxFv!9g+f_NU8@1NVhYvx$u%|Xf)5Ds)0J;j&XLUL7IV9dO#q{b z^%6c46up!PAFuf(bfg~>ybp|Fb`h}q*sv2O=WV`&uD3rR+p7RU(-?p8E-vM&8|6wy zz&-^2>?h1;2L=a{F!Wy7>JIGu9)11lxF9`9B?(D_iKi9JMG`saSqWP?@eAL68J6^` z7SEG0;KBwA0^9m>jQE@qUYNoC`-YE8{}eOqFu%j@EvkBFpZ<*Hxr+rk%wFn!aVSR6 zLBycBv+E}ZEKB#pbdX*BIn~Voi)8#S-c|J+KXCb8M#1*-J1d$hq0`R`1JaBmU%M|5 zU>57HQZ_8pA*^~8Sr0FVcTHmboMi%6`w!`867#O=@RyU{fA#ZMecoh+`KHFLF0`cr zgn(jJC~%iJBTR=c7!fR*sx*ET@lD1Bv5j>!_)eeNpU=nEl)c4XwXjXlkaJ##J4TJ~ zO;}f=EUIQPkL_QCyyre9%J?&4K;A|db5nj~^!@pv8Z@Q>qCYuhKK6Aeq?e@x39W#@ z5`K#XYd6*{MDzBn7Gg(gJ6A^1qu%9CHRwB)NamLf^CRSP5e0L(qe4BAq_6!R2aqxa z&0mPw1S#$U&h2Fn>d!`0S`o0?3dtPtV}qg`uzdlc9mjdRmQz% znV8pc?vjaE5kFAzg4^r*7cQnD4RsfJ%{;s@)u5(Vd_SH^_{m@-L~m^T6DcuqgFoKq za3MIiS+d^ERXKZ@C)Lx{9YKY}!Xgc_9}v-Umxqrg62c{EhN)=#c<$9wU2DH@uRkv> zFDi)@Vq>CvH@l#g@5M?LS?=>vk518kCTT<(_`pDq3enAI7}Y&qemzDH%prb#eTOgO z%|`kbj2#VGMPz;stosH6bY%NZgqc>^sv`>b1Vgucyver)<83q#TrC7+`9}d+3U%>J5oVLTgzt48m4EtjE(bQC#`ds&-6bj-cvm(y2ec|WRFih2>(5`Pm zrrh1>@7mc$UJY+AG{$L8bd9^GyNlODps6__w(DZMPb<7nnhd;Wu-kIyRb?gvMVGdD zjx`889^OQ9qy8ViMJ<`YxcJQ1Kj-t*E}t`Cp5^|LCW3(9i1X+ezy{R=Ve_z|0yydA zRs3RCaZu&BQx4+2*cYGzkeX@yv%CpB&u8dPm;NOQsHmQzR$qjwDW?v7xGFvVV}8Bz zfXT1CVX!y4r>Os!J=YXxtFfyVJTDGX&ob%$o)k0yzKp+gb4{7}LA9^hlXqpK_2+`K z4{yGSZ1JDN(xz;G@&J9(S8B;**?G6xdsL)vkKK*V?CU_%{T*DELLXcEbdif=c6EB@ z+l1RE%85$s4({=NTps!Rm%zeMkI&IUe0Vxj6q=?M#4#AR7+m_Zk4C~hTB63^W#<`mMF#S`;RDOIiZ)U}s-LqeXPrGY zv{#*xPv9t8RDnG`_g(}|){lxbIrs_u&Ah&%5{P2$Q9tET&=4y0|DXb=>^n>Zlrgy2{YXRf9(&f-)67=7S?D_5K99}XFa5@CDQZRJ>=lma zWom2JIYeAn4!T@Vlp}Vb63Ux&9Ry;kHZ}UU zOJxio1L-t~a}OUi%|5Fv%PrFg8~wJ`!Xj*zIv>If0pY7EnJHvDKleL4RqOH5RBgT1 zyY&Nv`${E2=DqgGd$bXE`H91+HQl@DwWVF7K8WW_2)ae%WzC18%!J}4>V%5YaafDZ zf*2hwlivdYurmM+@Xw9uLJs@DFt;SWgS;$=U-Ry5reK4ZTP%x7Ge^O(Trnd&po!uXT( z7_c~jvXAoDGmsAnwhse-KmlwCzO^!nKCuVeg1z|P4*bV?9~N7W30-4BAN zd#?lgrr=A8FN@XFgxl!n5WDy@tSQ(w{G>h5+fR{B9oc%&y>)X^|fq;@OI8v5~Z z9@(9Ik4H>c@;p0Z<-hv5K=Clhn=aI>^UrF;6Ws%O1>VU&s5kljqp2F-t1O6g~)7s#u}%Sb9P zgvDKF^yUXdd~n86a>fM5|IOI@(nzcQW96X-8KbX&JnhTJ7fX)cgit_GSi+Z)OtH8%OM+{Inr%P3wwF8O=hZ zrJPj4qXV9qKBgHs2b^ck9D_XI5gA?0v?7MEqAU*x;g{&=j8AsKa0+%iJa-xgsjtYK z;FW0*6+Te_07!JuI4C& zfj8$cMyrCigy8qJ`Wh?0y*tm+fk)Cw<1dhU1@0r9j(vs3R!}Tb>PjZar&NJcWKN{*iZMb3W+ms_* zSt2kt6IhHpy2K**6sxj&)R|SDk;Ig!Ty@b_yC>_Ta^OHrd-=Q5jCbv6XeBso6#x|A zHy&zZ01aWQp zhFV6=lbw<#>tVXI@EPnDf%hS>Kw1TiDq7^WC5aZmo@F_CQ7=f9zfBn*dxy0AElov% z!S!it!4o31U|!_*_Xy`hsNb{nX~q;w=1lOk#H$x<3qgWW`wvkPavRaV;(pVkpbN1F z4j1)&sTYwlDnA$RTLh2P2cq4rj0@pNusjA9?3|)7M<$2mcUNZcivBFteWcvA3wEOL z9_w$83jJ_Kvpb_(2lDy4%(05%;~6cX)<5!c9Y#whrqNYtx+#spLk>X6_xhP-P@I#c zC3+ZYD--`(&D@~A3wm7#xy_VGXwFT+TBBg}&RWW{;A?ECsbTl{K4MTTIb)~+S>Suc zQ7u@)nq~ci3RY;H2hYnu9-RR9714Wd1CaC6y@=|P65%yh*j$=S4F}DgJl7vSisU$<9pHcfZ$@}=y2gy z1UtJ?2oj?!s~qTemoLbRBUb7=6+JA-RI-&gqM$lur4sY+oy>9HnoY4&c;Y>lOete| z{xGWiviPN7^Y}pn+t@b`3By1M{eY^~M!NSQ=mqpxf=xifEGRk1vCI)5fBHOrcKNi=V$;i+_fim;bV zszE_X7sA{N2pwLvqHd=-y*`3VTp`>$+9Et3HG5yI)A1G>eVlL(U0!8rU-tTD76q$1 z^l5&>>_g@B+*xbB&`{E2O)jtqgl|?A4L}X#tZuL#>7Scj7!LI-q5E*2YGD}lOpXPI zF%54r`n8X#o3&GCJUJ`8_Z@|N1zR+fV=~iD?dR$>FHJP@diKFg>gV-^oo+pFLYZnYTP2e*N%tG70*G z|IKK=Ox-5NBA`S`Tx^w=Hm~Xt?B#p{@SM=yedkp96Nsi0^uNb1L+DNVi{hGMQ9buF!l^0~(XCpn?=3X_Ypv zj^t#@AMhIK=NnCl5$y}EHku<_@fQ#6a!!s401n4y$ZzQPWP0WmKl}X^L3I^m6@IoW zJBc^Kj**Ro_D{Jld~@#PSRH|}NU~0SQEdRe2WxuZD(yCMm4dret~|L+aT6;Y8L#kn zp)d6{)P@(XstgBusF0~p%bXel>GGl4SPsG#jz3vXY+>c|w&kIFPQmJgx%ppBZ``?| z0)75kCHo0m5KHz5rQPAz`M_7b01KuQ^0=A8G>70b8UQBHwbci=NstGdpisrnnc>(S z2Z2HtCP*0lnRNb7USnM!_@-oEf@AX_ceIwVA@yq@?x;BVl<~(q3n>&d3=I$jim=y^ zIRIHhXr)eeObx0qv~aog%K1q<2U?rOCOK*1d$HQk(TU^9m;p`I;B~hQeE!c*Z^6wj zbj(|J9)Z?Uc}XF88%a^D(${ki0fQ1Fp`dr$Rwp|U6sJHnJNz|WjmJ$S!l4RB{^r~j zsXI}bZMnwk;ZYy!^YQNi7@!k(bb=+?&Ucy>jKle4Jqp3Ai9AH@mGed1%}DcDeK*M*<+@tvxSp?x>* zXSroKhq=&-!Ga`K{skx1*;hktZ@8b6OxCc|VM%8zTr-(}3ReF5ws3HK@kZHp{n6&r z3XhAn7vRAz#kIakew!diJW!PK0XkYz;GNs@U|p~ihq?=fylu+r7SXEDs5i>;4UT<8 z*@b1;#v(|}i8(~WDItYs)XG}KQ1x-ut_K~Nz0mPTV>8iIv}D}Rypf1RNPWcQ72hDi z4~Wsvqys#_iZNvV5VTYdBsgTJL}Qt_S4Z`a1eK6AcLFjK11sQxy{zFF-K z@R%;wvE(~Xx@6y~t4xSEp!|SD1WV-8@F1I*QG9;wu4kE@>MiS-VR!vWcR1PGiDMHiB&h;SaCc5X5Qz8m`H4H27!~jRA2cK6X3I1S z9K8T-vDqx{kr4}JZF6TfzkHIHAoWo0{43)ss0+eO8%V~9L8?=d=3|&Pt@q6R+Lch! z)9t6R6mXIHgaduLl}s97CFG6_8wTAe^6x+P%^^i)W@y)+wmCDJ_#W(`8%FfyR6|8s zN{iemI(8!j#JF!$@TMO+C;3`c(Y_#&#C)yYeaaSYr$qeJ@#;$ob`u)7Exm9e^z*k$ zFF2O;JRYG03O|&qE6X304=94Vi{*vyxm*~68kGX}rG5DSOc6a$EQmfyE<+%cuNj|) zGIrccQ5GO9gduj+So`*#$=Lx(Dn=O|gWWVyk(8P2^F#BQO0Nm`}APY zd2$ZrxL?_rq@4Yne_AO;R=g(mp*8o(d;~JbU z?z0#kXd7~A2kWlb0P zT8`q~$5yvKEO@9az(uD+RXz%sKOA_^atMGduZ%`g+D?& z@hmQ95thV4NH_i|i{wxXtl+W)M3u#05t+^<=k;MuzR>KCn|}w`kdG z?@k>@*5|&T_0_~Adj;Y!-i+olpw_#|s+nx!Wa5Sj#0a%^h?bO~#o0fk-`qbJO~_Aj zJk+ ziN7qb-0rPjJ<&S9Yf^7AUIl`p7Vf9OWnG5teH`*0>~`NwJ>&hSpK;w-#l*QPvMK({ z#3!3W3z#w#t4ga%Io_H$`zzYAn@BGzwUVm}_ zifjNJ@2z|FAnbef`%Y+n^~pN|Q8Yj%-m1R1rUJV}5By^vqJQ=^qaVq*LIDiJ0k9gR z(63kxzwILN7k2h?U7_XdPg+f2|0ehi!iNUMO^QZo}u( zKzv`zTeKpujvJRYwSiPfF-|Oc8NI?L$EpvcR}&+J7a|?boe53z+XaF+dL=pXS&b;e zM`bq@+4U};?=l3>Jsi-7Q47ys{pMI)-N zV%rZt+@fr(Jh_gV;bNNfnzc1_ce{Xf3H zGJOscfxTyDihaunZ$#O6!zm$T>odQy{QyG)K$5Qfc6Wc>4q#LN z!>a}+f`1ToQeiV)cUSE5Rke)eWlUHfGl&tW)E}GYQ>oElY~_;`bH5D7>-cG(9VR}m zgVLSIue6y+s$+&$Z&pNSyt%h3y+TSq!vklLSR2hOZn%%<%kMFa-y_amUcG9B3&e z6)E&Zu3t@68ixj)mq)FX#hk-Hxtp1t%}CjV7SShp3*+OuE&;86m!fGJNc+C#mtyu4 zq$(mXi0D1Hgq&*R-hu47h!rsmuk<>--RBh;l0&A2W5VSZNzLR|wd`|Ad`@x+J zpC)ZS$f7%$+Ac>y4F-?F5gJyV723gUzL*U#P(M?twz}|1QWJJFH>WBmelMv9Q=|DD z@vxe;RH|BZET5NAs_BBVzdkA2bL`RF#Z04UBE%ZiDFo<=%I;b!sm>wU-6&;~oZFG1 zh})A>EN+P@sT>B41~a#HMODL|RhlS%)id&FM2Z<@sYPKICB;RnpC=*Qji&je%nAGF zL-wz6n+za2^_Re%-|#{`zmKNB=I8bS0nyA=xkl_%=OMYe1&Q#)k|2}tQ7B6z9xtAIY?MYu3iWTFe^A&>XR_5$mkgB z>)Mhqzp&G>z1kvarfmrRL)l2r_7w>)F9!*;guapCD_asa4o(v0#}=j*)-Nozb-_oT z=-V6V>Wf=zJCQIyGP1Ri)wh0ZVPWHYDIMWv%t~jC5@+tVvkeu6A!{W^QwJ zT!MnPQAwDe>6;sZ17+o4<76SZ-1O_5RlL???SvInacT^`bIV4Kh{rY(Jh2R`&3hzG^AY zxN1S3G$ZVmp;@H$hZvs<8oE2*PL|(n9Y49Dd9w3;D}VbTbRbK%pAvea06%9U3|N?^ zp;V`#;XbIOd@D_nC&|9Zag$I-O<*Y=zb~bQux0H|NsGB%1*7!QAY zPEy(=L>L)(&hpGs!_@(|CFv94CsDb!iH*!f(nz1l5ZvvV*#<3{eAFT*=dnHLM>zF} zH@B1;84QVr^=W=p3G(AieKqp-L=&@-4Sl`47Pqm{Gf$~G;+gc>IA2hs=+2I4#*A&f-24SkIF zHNSrSCKllOaw+JCxC75%Z&r?IVk#9`I!*uY~c+6hVbB4BWi#@$9`m|HmO-F;10sp)~8{F5| zvmQ^|+lBP6YvKm+5uQ!1iH>XC(17+MF??+3z$Bn+9W{psh(77Cp&W?2c6+bh3i)Xp ztNuf=QQF`imL-!@Uqq0J&W|HSw9p57d*!8@4qlR9Pol{rE6fHaKxb)*f#UJVgt(>-7=4wFJhvo8K^dgy)_fH0TI)Ns zq<@z4BtzC+6514V);GwZZZ%FSQj`AuD>j~&5uToaf||N;$QZScNv!N_Af5hR5Usoe zdFxB6JoE4vDr4*fZWH{zgxL{y&PUZ zTcB^NWL5!~}heM{CQ8?DuLCC_sHhbpVF@arT8s@PT>uqe& znT`N(bJ3>+rrIQT=f2*v8z!!?`k0r&V-sqpFLVPxRk&}?bI@y-j(iBc!?M~g$)7`p z{6`H(S#OjLIJmXt%-X^LPdM0T3J;SU6(=OnEG5NUHaZpGjm1om3uRipAcMz+?G-b% z(AIJwl8i$rx3) z3aUvxuBeZT0$yW|u%Y~g=9fxjmAiB-v>NFCdl@Me$NCWBx){=twzG#$Rq6&ot0N>X zlQ2z`1K4y<;ed0OX7%CsiwOe6l-tX)>=vOF&&Adr#j>}+aJ>e52-G9trirRHg<4Hg9oY5j?G3EXG!GA^#V3Zy6m) z)2j)Zsm*M+nVFfHnVFfHq0Mb(W@ct?Gcz+Yv)!ht_s;#kd*|%#?9R_sojRq;R4F7y zRzzl;c%(8oF#5g$-ITjtf{!Ml_~siNVEM~oR)g2YVS0NWK+0- zC}1mjAlNr(9 zSQTtz^?H0P8^gmzm2Eh7>PP~#{A;%m>%$i5Ss<~gwl%>K3Q>Q~kw!?rQ+#_V@xHk z(@Q37?Yd%y5fGMi^@=Cdi=CIwO62lGkaa)s!-r*zSC6Hqy+k+FFB8j9Xbi=`_qcOfiqmmqO;@AVB^QU~9=GrvDDBhjAoA|c zErOORt`wxZ>;(7u`H+(EMY;yb7k^5{zN1z(I-2k5Q^4bxC9KzM1G#5?^|lZzHOVUW zt;?o`OPRmQKY$u9xi9n{u)Eu5cRz5;OuSMS{wU%fJ)rH|CY;lUmi_9V9ZD4&b<(gF zWVaU0>G0_B7_O=}Dfd8}@)Q~1^&6noaKpF?aHTPxKq@nEx_Z^jpC|1q?{CHOJQsUE zo=J0oY*JgQoPmzWcI}{gt^jVWBMb5-_{~EEo122K$`i_JAl(bB`NHh`X7NQcF@j%w z5T`!4SxzMM8uV_tePKwuJcg~qs&rjb@r)|-wymE|Vv9Rsz80~&)oMtYY zkw+z62hN9PVxrzmJcq+QJrb_$cql0M3`vUEL$H^F0j3vz+fdi+HE;U_nAj*|yDe}9 zb1rHUYxkRG1l!plu*{P#e%KW=pmF_~7`HOZkI}4$-=Kb-XOEC46qRU$SGE1c>rJ6L z;C1pgCFJMjpttm5d;szrGDj!*{EQeH8S8g6C!^ZoQ0XSD(E6}td)k^NxY%XMoU{pb zxdrqO6~}D5=iDZpH|QBUKhRiX6=Nyg-Ik+UxdQ8|r;Xny1vKyviuvi2;g1Qw>meBR z?yc)2&{lLQ+7so(gSC+ke4Yz@=A@u}3N{TCzbE!iT; zNqtpvuxON0qLsF``m4JaKxAzxB_-Y<iDD&Z!FMq)Fg&W=XybXJ5e% zygmMcLSbq(P143+KTI(YZ=(A3EGdy0Y{h?(RMQjO=&mZH%SYWwKK~k2eVBdsQ|%WtG`0lL9oE# z&Hsk`^+d9%W-W2PgNDf1HN1-9$cZ4`(!zP1*0xvtN|C?~&ODS)sFk#k{^6Q!_pZ`x zPB7WFVKoEP`vsAkD;&Fa1(i6ZAA^jZZKO?A^D{4<1HrjQB1D&33hI$D2bv_Ar~adS z^)29FoTY&eW~Zd3c;B#^#mfifyg1p1zFx#PPb*(i+BJ(N8R<0o72)y0dE@tmG>$rp zNef#^r-&O#alr5~S{{X|cyNaAkslAG8n?ClP&+z^*N<+;44sOZR9x{vD2tHtta3u7 z4601KcoX=z+5l2AD}dC`uByeq@Ha)pHh7MgR~65&lb%3H3qkkQ6FSE0Z{Y(l59cSC z9bOi4Ly_?&PbvGTEcarZa_nmSy;)ehLXp=%=_;hqW%`R6(QbrFwg1v}m;>vN$O% zaNXBC7L)sKRT&VxZGC&Wy})Ycv=U}Q>AtR#4SD6l9Y<+Jpgtd*O3G9@ziR!ap%S`( zV>R|wDv+)o!cTM-(y;d-aG%`^tPw_f4smE`ds~w^K2H2ee*`;I7M7;sW96S2dZa!r z9!B^nR!wbGFwDAqc+CLfb34lulqAA_RkdIYRZ%PjLGbpNtR^oB3!L6mxIe&kr&oh6 zAwQzt@03jO2Xf{M+cgt1wML%gh%bc`2)e2dlM6Om|1 zife|gRd8M5t_t!4Ouy0(LXTECu=!R86BhbRH@@kQqJpjE^~CubLmRA9!C3))YB1j* z+Mx{Vn&D7HBc`5(a8^EAbKd?Gy(*$7>r8k~(ta8-`P^C9@2OsSBE2I19m;5)i~08j zgE=pw5!~<;D{Jzvpoa?6t>JmN!xoS}MuzBfsvNH+DM$BFu*7Gxd}b9O3U&tRY1N0g z7Z25lD~GxCkYN4H$ZlpSXAj*iGHWM}(4EU2-afjfA;HFR;1QW}DrQ*OL4vZCCdZ|lJL`v3Rqm2H|MrK@ zy6*@NG<*RmMMgruh#i(Us#o_hR*8&uWKj$_FL_>Dz>x=Z>b-@pE5{X}9kD6^s?4Y; z-%W~F2AEcY0rmv}A?~Es?GFd@J0p;?7E%u~MKLls&l*gx$;G2!vy}Wq(s_#qJYJ5t zqF>?Z9+wsGa|SYqr3;1qab*r!t7zJ=ID9I;az4KC7J8t5%-w`kfsY;W99-&^X;ElD z&PCHkw+h%u&Sz|_h%-=CI`?g{DU69Q=Z2c(MG^1uy`R^>Lg|Qa`=Qq_B=dIvJeLeQ zA>K-zSs<`6wBC}R$&5jM-Ld}_Oy$_l?c~0Rwy79dYFe^cU37%DBx2=9AT~K#8X8f$ z6E=1A^zL@g!eAZly<4n}tej9Xrq@>Sl1EC;--s1Um{~W|M$?Vp)8*|l^A&kxKT|7+ zjZ+MTKxIjJQ%#B2uV)}*^H@M7XO=D3HHEfSB12G*Yu+0IPD~*`$l~)eEbC}AisMut1tuX!9~!to(#>R_CGF$aVmo?1Zj z&JWQMcFs99RI1V=m#iTJju2jGTXH>M>A|yMomt_Rc`_@CyTxSPJ=9)$G?F9h-w?ni zz&j--X)w$bqHCwFOTpC^*0gy==#pLqZwQ#%{;P;}Hv zkE76WQ?XP_mV+_ce)y`~nQtmitsHj>s|DQvx}uN&6Vts>B&Wsp*oIcO(4u|Hxf_5UUKqc{wzsF*XXeiu4Bb}sl=W_K{lS9R`%wlTz znziF8-}W~#+HVV4*@{}0erm)@VNclgs_HDEM(S4_AGSI*^&|$0vI6__T?wT7^jOxtwR2475pcqfsh1PAb0{jv{gVVZv)XAnjHCN_;TJ5{HFVUiP%_wxu*e=c8NNs za%3vKVDeqwvg$v|g$VKu^TQ_b@LEs0i|9e{6}+IPuS()5;T}3>Mn(29Os$=Qj@jkG z5f7_yJ1vM@#F17r(Ge0sj==i`QjT zYHg~#ebu&92q z!66aO(YE>fl)$ZyeioA9QldVsP1wm5jx`A_3t5Wqo}sL&&0tGqftY8yIIiKhz!>%6 z`RcC2RMXWVXe4^_bXlFMusL;=kt8y|4OJ zWDp>}VQo~iwBeu1M1EXfm@FyM)Mj*9@zBMVWgCnIx9a3C&tiQjTCru#=?;rCx74SETcG7l|M?4~@_hTFYi^NHx4@+4r$!kE zd&s46IQr&FBFYp{vtzw$ftX4wod47BmUEJ|8{01C6q3!b@0d<&G^<&~VvUM6=97q| zx5#&{VZ$AGLdb}+HhI;!(dQp3n9Yk4Nw2Vk2c8Jd%$*d|)Cr6#j@J?WNVr5YZkNTM zGCS-0vJYbGo^i~R`#49`O02<1W9m-$oF(imW!0= z8K(HQLD6sIA?3V~;J?qAgwF_1^2S3%-h4wlx+Lcs(74e~I%JL#{&Ba;2FbGzJo7;L zW@lwp$m49Ms2n)H$ua<0pL#zA?R7+0EAI`B;GaQaB z?~oS_uE2Gu8hbnZZ~Hb*^f}F1EG@_nv=cMGGOD@2cP>R)Do7^pt|_N_#{kde8>Lyu zM7U@~bGgSBj?W?Cfswt0>?F(qsiF4LP4K}VNoHjRexwOyNupfKsteUAnb{34yyjPU zU!dX?Y>OH?NGrt1=>9qhr540KQ>Wi`3ZVuyomS*?5V#!Zw+019zfi3(H)!z9l0QCk zCzh*^gu^SXfXhQ7=$WOCNd^@+%X1AaA=s$!#-7A&Q#D2SuwH@)CdxhLCioK`{j64CKDc;8=owbq3bLl>9+oX1ZtY9CZo!a#inJ`j&nN>%>>61dA7yj)} z!pws}pC{ChIw&seLp@!{bu3BMV0ZHs*{hZ5fohjMpPJp7h$vjK0s0^|A&ib3qOB8s zGPoF{ZDE_hSpk_y3xkl3E{AONHsX@~d(b$Kd5+@Msa#R61-u;Fj^4|7V@iSL%@|zj zz^S`=tDOB7&6t#fcp8tW(w@CYIFZ6q!XIp{#v>U9h5PwFta9`CI97Tt^Ska$ah%*=1&KYPSUlTU=y=*D7jD+A*r{Qri% zG+6I`hO7Dw{>FrXhut2(d1nq_c(T`QICi_#K&jHWH4Sl{l56#f3G9LIF$+54haxT_q(nWzgNeynov>X{9o zZ9s{qz*sjLkE9r2B(i=KRhhkXCcxvM=o*j2;4V{rb(iBnp3IiqNIILwF9`$WIt(y1 zKg$>gPnMI)963KCFgQig)>GLnFSfYP>?~;lq#%J0E^Kf?48Y~Yo7K+DabJ#xb zCu_s&wS6BgO!@x!>v@=eFG=>fU_PHRVc*Ns=HYQN+-$vUyXO3{{xEA^x)Nol+n?h+ zAA^&2EUvkPhSk7s%yE|{d5-AbN9Y}vEPq{#yGTHP143ACy##N}zU}4iAY)q)ti-uN z`}gLv-jnwNP0I8<=OnH^>Eu_jIlo@kij*1ahzRm72A?Fjq|LJXrMF{J1~6lPd3s3c zc!*)(2?y*nbl(j$v$No8MVpgi z(-A^TeE*#9-|EiD^&^b;a89(lyIy>r?v8ehIu=S{5|7v(dcIGRL5#+p$id$)ses1x zgqWwk6&)&c6kNOdIF8G=EguuE$nAMPG12d?s9$*r$>>JChpB2Z=aBTgDXcu)VI2QD zjuleImy8Tm4!{KYZf8?Pb$a$-R0OKRqMh*XEWn{3UeDXUL=G)k_*+A-Fl)S91k0uU z2Dgh~6q;YgYXYp@X?LE$S}1qQY|D(@zL*gQ2mCm1mKgdN2}2YWhz>{Z{QO3RP!VZnz?eoTxVuBf9=m=Y0-4OIlYgP zy{XPx6Q`X}ZK=Vh#2whZnX-gl2-vf$x_+mtHOT15MI-zvcrP5|J=yd4Sm%4ceGeF# zt+r7b(Jm4Rk32yVRWP_cD-F2PWkq}(<-@%@Le?${Dfo!YE@VtohQ#h3RJa@EV}IL; zrIw+Q{}U~D55JYAm9-Hw?Ls6L`1EAqR*J=SFt~NNrZhRa_;TNjRLqiat{q_6Wj(8C zRsU6I_LHi8&PA44@l5Qb;|S3kA;YEd>mjB0WnqP{IcNNaF$V17T=`O#`XfN+64PgF zq^e=BuTAK1YgQyUZ3tJ+GRg)KTt^7BY)uW7)FQAi>N-7-D@Kiecjb_~)&OkLtaw6u z=Uam8+>qg|C6zm|Zp#!f<>IX4Gar$PMP}U7@mBEs$jW^YkqTC^3`i_CG-uV06$C$5 zY97&Xoz$;_6Q4D0!A3l6LF??immU%NiRcF{1S?-l;2>DgH~dxOdHtd^ccDn=Fx1As z+32^ja4!YM7LkS|o*20Dhom-SDL*MimbCrfI@2dqUf8xMJ@-gasi8L?tE zrzI5Z<>8xw#f+2OvbMqNSsnqOE;`Evoy9aLW`S56 ztQi~HoM;I6gYK+{`c|MEzn5e|C2HHl}qGC?UXiHTaQk+7$ z)>T3E=(;G{npVfvzj=P?8S>-?2IHFWYo(8i3}W#`3Vyi1g;HZ209ASZ* zRxM6s(@|PeP%Gb2#2aZw!&D)?}6xXyT0e5vxmFP(3=o0rOde4;= zZRv%A(h&aUu@09+^#jb+=z8b%jD$H+0jzQH9@m!r`>bGgN$d?fr2)+^Gy zvh>`{)GMCq8WcJLZ}PQg%KSaP0Zg~+242eQnk=>WHxJ9eejigNK@yvwcsoAzM03+# z1sAt^)l}JCz71Mw2p}7n|kF2TV(A^Fa)m*HOFxM$NTE!Ie?+qt~ z&Yp3o0Vk3ul`@2*(zB#dd=RNbo=Xb>J3FS>-_>aU z+1-Pa%Gx>?#=!zF;$D{-}n7^Hm_8XUA57?DV?m%W^z zS&uxazEA9sRilBU6oie+?X0sLXktm|~ZmslXoqb%7q>BoHHxzi&l{fhmOP`h+L&H-kGlF9RyrNMO2aMOk z}Fn2l2PiRjR0tZ+tOT(Mx_BQ~eV#!+-5K8R&zW7v9~h3B{8mUr zh@l+o9Wf`43pg4$Car?Qyo%s^qM)%YWVPsR{!qt ztg>9G;tQMX>Ge`s&lz`ke$CiMxFL7b0`KOw-<*Ig8u?E@WJjeV|3jJGyFWJ9%r%){ zz2e&%>vY!Tck-|5tl8_@Fzm2a9V6PAf{(LskByqXAkql<5H4| zyzPkU+x?p9L+a?`cCHEaErqn(wE8P&v}$+nX5;~N^@7ye`f5k3IfRQo-VuwftRO}> zKEl^N%yZ&xPX4#|t}qxkeg8yrXTkn2G*&!F$kwgxpaa2*qo}1AX=1hr zm6}U-viWBNi{AA2gki)H-6D!T!3)$?kl(vu;R79F%_U-exfEw2nlTOQZ1IR(v!r_c z$)&6I%GC9jxmT{Vl}09w<_KlC?+pnN{jt#TB?4B0H&1l|KL^b-WzHF*r;4iBW66_O zx*&3&Bl)Ivdf1ThLWf@ETbTdH{?k@q0o)CNcbUAm`EFei>k%H7QUPqXpVIF&tZqmC zEoo6PKhn(TsdA681yrdUx4{m9YD!l{EerL%IkLzL76ScIeL%9^mW|8#5~0R+%bP)m z5<4(=4G~lSN~pzU1|w?M%>_e$QmZj}8_}VSc?l|;KQ)gdY^fYL?|rR;$#|Hb3n;F{ z(3UK5ok*|eD{Qa};W0g(RRcoOb9YIL+dg;mf%Km_2n%!PTKK=ojkUe+1|u;Rpx`T` zqE<0kfd10(+GQDmpt*gvADeAoe901AkfsZA{0u{G%8BE~uArve^b6cz7W8Ttiq(1&kq znlck+;SM?dlKtyV#P-!d=t+b%9=BNyZ7rBJR`j|~$KsRj_f(~#!8`%U*SkFEJ0`@vmOu$#Spva3@dyn(3+{}#TZ1$NN1R&mss z+jL?6wicxy1aVDSx+s}cgdrkQ@QOUi>WQRm5?Je_g&!Ej(xUx?C5|wQaCqK4vmvkr zErRjqih75_Y`sAOZRGWh?5ER4tP!j#UDVv$rcwLA2QWr=hmerLG_i+y(k4HLi>dZ? zK$1z_?*6GMmrb!=`isM4K7)r4uz|cYwW^~B+$bvO%~xjD@obqBN04I;xfnsjyj&bM ziHuF9ofxB9#;WE5*ERTiv`s{dw@(n%x#xrZ3A(c_E1?yY%Dk3p7et+xz%w+0SaC5o z%Q2(7-s&u^C{o?w#Zm&1^lmY!(gy^3GZ}7}XA3V^>4I-?@}$kwNdO^UklAGT_n!j5 zu)En06;3Fq5sLlu`cY2Mn`sEC;okY|e6RdbU5a0A+deoJ_8UtpK1Tf)>HP-s3khUg z1=ftH2UA_Lf#ijPV@`;L|Cs*%P2u&Gl|(OQ8eTp~?(#Su*^e%*Q*0?FM}|qs70;UO zhOGtTW^d`DOK0Y@#b`&7KDUox4ht@K?Sg6}y`sPK#5I6K2Rm5I8I!-GKh@rfSN`xr zY-Jt*<@@HtiVYtWD&oo`a6|dJa~${1$OQ#Y+|s=K$(dO}f>2T`tKRR(Dz$p(&6~Mt zydNs>tFjV15*+QHR`&?#?86V_Q4!j`rkAmc;=h|SD1T>X{*Xjj9 zSMa@G^TGr|Uc~(at;q^)<;>c~zu7oTf1+fn+t({5t$XkALH-2vUDaGi8X_O~1*#%% z09SuHsEF>@yK>o|gp@M4PW!Oo?AXmVU}X96F^>bFFI&A3n2MtPhMB;*qOv9Th_u?Fo4+9l?BoQ8? z#A1~X?Mwo6=vJsu;M}K>e&m-e$Q^f-IsTAYj`n~(pk9`rZA$bS+m|4#NLRi$_eW-#@H$G!RobZA7V{oP0dOoLHe4fvkN*7~rvv3;C#z*6~k>iB9aXy{Z02Sx1+f`n2FV0)EZE~XDM zqNO$!{B(|;6DIr*?3J@~v*f3Zu`=+d`FH-0ZfgT1ft;dW5ZjIZ^Mhgvj9Q@b z4LKQLxj;d~t}(c#zU9-SGM_Sa03$g_QB_SaH9X-w{48lbbSa=HyuDboWvb(5lfAr+ zssDP{g@ES(Mc}ek?nCuHY@Q`!mQWmRzy%n0gYQGo3Ye5EWCmDg(+r2}7UnRIZjQia zsyd1g)b><-7)DWVEWENNnKCrcVr}qch{Ayc4Ns{-@8Zj|mJuNBpF9F|z92QgC1I8@ znWSbT*6cSRKk_FoNPwDz`iXK+Ne>9BpQ}dGGVL184mAppt{FB``5`EpSJ3t6Z^3$) z-onP|RIBcizSXgg8DkZ@>G`L-1gnvA-dGK@8VCnDQmHv8`GR%?^7`7S4GG`$nI;na zz`?#l#|z(;lq7@KY{n(p03Ww3VJm^KXB^&QG5*oETON-;!TQ=Q{t2rAu&#p|Y7Ot6 zpUgw)7y7*2gCzC|ZPJZCuPeM1?3_<)-#&KIkwzoJjNo1qSv zw3($qC87ZAj9#E?csN)Mio;csIvm2* zMdHO}bH5Wn+6yVGGNBAtFV}kdV{SV)DTLNn9J6}EMtBA0f6ptS;qXW+)wPY|IdCad zWagkpj-#Am&3TO12ue61>>)id=hzb=Vncy^ququ#N3(Yv_;>;${%9`Txr#W!r0vnq z=)P~^V@6cPmG1ZX^cT}8>XJLSfysO|gt7-#mWM*ZL;9^4yZUbM67mkBb>Poob$!vA zx<)0H9HL+TTH8PKWN8uAUllcp>Na1Y^^QhIIW zn17Y&|Nc4Pso}tGJYaI()9Ovs&@)n}n(|V?xi`f=e;P{5>O{?%Vg0e~id|Eje^QK% zOeAS$XB0o9s5A5+Tfni1Ih8!nO+P@P`fy*+B~*JhLGQy9-!*vlQbI=P=-Bf!3gS}z&Tc6n`NImW&V4^0w;I99v_I2!W9>pI>0%!2m zHI(>*%i-4b^%^)fjR(0oX0|hv`O&q`hmSqPR73%C&nPV{ zr5vu`XFIYQ-8yFXdkSPCwL@uE%R+lla`>@m-u_?3pO%XS?l>)4iK>sGV^uIoMbm@C zH~M-Ub;j@8DC|4_9IaL6o=DpJuE!8}S zRl304QQqSnFkwkHE-I##rnq(?)%An%HVoasE*ocML`f)~?9j6J_O$yR^&e^1_H3_m z)Zr|^Bm$L=CKcvZS!uJl5_ytLDLOw+*@|lPKqCL8QhK}i)F2fLKj8XHGwbB4!}#*( z5e0wtC6+rnSSX*}X)q_`Ip2T)1{@vNwzmJnUg+@d>}wjBVuZ%DolQI5u!NXtD1JU{ zH*7>a?S!oZcfQcT^Jt_B=_b@SXMPM^yNV}6CWBA|gIzQDS;;6#!_B62%UI*UmM!~7 zKWdQt#|~)tXE55IW7Q914AFB3dle~Zp^mv5vz8htAdMv4h-*}o3ELEK*E#7{lYel$mocC_s2b$`9{EYd=5RA7 zi#F`xn#&Ggyjwm8SE+jtcm`^;R&)0|Vy6N`OU5+11Oo*5Jea9Ct3+{Y6(ous{bRr1 zGsz1gnz>e0bxOIngyUm7c;AM!0i!-idWe2ME$n+AZ$dIQ`}Y;nTtgq?wt%vJ%r2kknOUaMwW z&29X*NOSs<;}oLLJRlu>riEt*dufB7Q~QfP`b=cgHiH4GVfS*%)+wAcIioCJQZwsy znM1t{8pfzR`Dpu$(gKVKU*T;sLJK-ul$Cy>I_@qaerVmcFPoA=;P*L+JJh0IIJYar zc1MW|D3C*{Fc~q*Tw}L6$wDWZtj2F=z>hFnY!gUe1-!hsU{`QN=zOd$f%#lcZ_D3U z2X&`d*aR)J{wh6T^o{bj?||M+07s@Ir0D~XB@?=NQB78ciNagUFv=^1MyT9WG}tM@ z#hsx|CZo&&SLkEiHC1q4*qvaISJS5khmD|7XdV#nQ!aK_E$4QBK-`1->dS|!@yHZo zPvG0p=XJ1zPT%{{Lc|N|z2m1z*czqPma3>`8*K>XSMrRCdi1+a@<=-g6h1f>N$k}f1ObC=o`kg-nu>9Rz%GDWb|QW@BFaNAa-6+RzE#?V5$ zz{}XD%Eeo>Q#$&>L)pPLZB+DDZ$|f*)bYuoVTR@ppPCvP2;A9C3tS&``laSFoaCb# zu&?MIg)90l`5(t}tYX27+(o+4BZl+13j^q77}0$Y{Xc!~ zieZ8w?dYAOjqDMq7oB{?`O}SV*EP#%o&yLK#zHrfln}<{4NwUMM4ILbdeKjY zLfwsvNcfsSNXXCrx%X(1}HCfX${ReR!g}Hi0R(euY!AQDgFce zWK|;4@Cp&Rsz}a?h;^Nkd5E=*ipuJPi+w;g47>2;vh#ypC|#}9gRZKSrdJ(tM`rvl z!Y@1)zCnM8BTPYIdS>}eipmCG`|z)+!6B8KlzqaJm=dV`2W1C<20(T%p^31ZVfKA2T_s&BtyA4S^2Oov`FOdPeSGRN-4}{YG29$owBpB4sD(_%T4SM>CQ`8J(Y;q6aR@y2fykuZb42kjGS&1v)blE_+_6?c6* zd>y7b$DYF@Z(9Yr^+9;jZToXYF3)ng#^-G&-5HS{o=!Ir=F~?xb4ET40FEQ=T?m_qgBR zpuBZf$^W-dMYjJ_s3Hpk0CM=BP{se?|F2L*C2^tuSEM4KS;l|f|9ixuh_K4P@QVKe zATlzuGyX3C#P8MbT_8j$F-b8XU;t4AQv(5g?*a9QdRUqP0m;e&Q30|pLIFVlBLjf~ zIAFjF2pAg({6BagAT{8h|K|08DgILi1PBNO<-g>^EP=rOQy!obKwybGKtQ0U|NexC z0|J8l=QslsBSSp!|Kx!|K!Ni9b)1obArJU}YWxP2Ny`KImqy^UJkbB*|4|OGe*;Ju z2m%Zo0vrqi0vrMo5&{Ya5e5bt8U_^}0TvMh6%!K!6&)QLmlPlSCov8>Ispv(`SyDq2o@9|iv)@U z#1C{1=&Ap|l4sI9W3_XPhr6g#j9qz5wf>&kr^%P%CTy;YkCRx@A}`Y(C&!tVnVj%- z_PpxI(Nan#FNq_bO>gH?cqGQF!SR>v*Wjt$3yaTFXi}lZKF`Y2diELLsV%}BMih;% z*m3q+JG1|iP1@|b&&d)obpHaKw!Lla4w;@~T~+AzX74$H{tI6mWzFd4na`Ml^Nn|a z3O>pm-}V2efP$hPOmoe>GD9w(t2-Y~`O4ASd(-$`A07A8E|87(R9TtZJ_~i^wHI@a zk)rKyu##i`wOL>w=dr^reU~S7d75flz&Lz2y*)d&+-5nbc8+$^nrc2cJG;@tl`W%( zq#yh|O2q!%=SnX1qJ5TN?PEIFCCf=Gtk}XL{Q<*Q(|oJji#wXeW#r9C6v`VHGe&e3 znN--af!r1Ey70J4+nr?c*A5JQbAuSCZ>(XARL7KjH$L&KO|#YZ$&UJO&Vc0x$)A#l z`SVQrw1&Wqq-M)?)+=&uqV6r3Fa)V1Y0uVM!k>~ODeov}JaZwKS4#!GBarijvmq??b?~?buOuQ*1f}_nWe*?TB==GX;ejvAVQ{3FewvFB~ zSxpqM8&Ao#yRm>P{O`jnwA6SI5L1-OyWrC-e8<1%-iW#M^!G6eLDTlWk@BYHer;U0 z)*dX7t@W?YV|;WUm)FRU!szn7(WfXvMc{|?{jKf^?XjuZ1y}TXXmz}qoas!4o5qXZ z(Qa3Kf@4p%om*{kwWe=6x;~$^Zmc`Ld*J;wWBZsoZoI|BwEB1xDJE_F)6TJARH-J35<%>q8_C6DZ8iV&~}kmm=4vn1>uU-bh`wAxYG5TP)bzR z5z(RNI5f7O^!CcA=0Q6dZfNYjiog2i`rq4Zf|meJ$RuNt#x%}J?!RzUE}|`qd-#B zL_M11E^=lg-rk6cjxYtsZN(V1Ti%fwuH;oUQ@X+@5@E8pEFX`*THifgp;{%@uZwZ4 zY8IJ`Cum!uygk)j)FVIj+m^IyitXHFZrb0K&M$~TH5*aJeqa_s+g@?y2cJzyXR8rCI;6$*AxqC zGv3Bm26zDuPKV zT-p4x!?5!b1l=cLp_IXr6rDq?DBdwIV?{{*D-H;CK&)ZKpFe%*uc9ojY`MiAdmg!S z2Oe2j8a~aqx3W=&<7#}k%f+s`wMQB={o&P|w$uTIaMvixT&$KYxAI!7B!B8L|M!jdGUFQvo`2%{EdXD4!qX{**8aT-e|h4rci{T&4ZAX`dDUck zlE#|7ptU(c{)+X*ccQEP?i&dC6B6T{T`rYQHvahpfopz1f!RdnJw#4hIni2n-QtnFjm4y!QL4ASiMu-dUNyJS!fmPs9_!nT6F{hBNd$nWGyx^u0c$y$nPZB0)(-0tsQ{^%d0$9IE}?z~^EzG&RVg->m5 zd9{$jhxhVE?^)AO>n3mVnY@eIthbT9u=VUtv{a)GJ4x;E=6U3FB>lIumq~P`g37je z>aQo#BNff!)1(B+b8@}(F_L!S>I8zbMXHJj zi5!eX0igdj0Q}n-wglTp2?UHSJznOPvodwCBfv}%?Oka#qd1--Tbqd2^r=F+kidKI zXMe8?jiX;V!L&N3cLBpU@9kfc8r$w?vz(<}Lunrp%}PQ31o$&HZvni9F;#rc)%JY* zDcBsVz24UI4Rj9py=X)mH+iS8zU~J`xLA#~jeK+KoJWJ0XnjFR;?_$qVnvSbIO0*5 zNC%0}oXZ7sLt%%l{bF>GX!@I^C~qs9S!`Hz-kH+$q%Ki++RL==m}ii-?|26eckzlI z59$+6$b<|JkI6^8*Df8dYps5K*LD5Fw9{3hZ&i!KbYgs!74u><)QxTITC5B47OJf; zJ3PmdC~3=JQ#?6du@16C1u*o#qXGm(c-}tuXUL6p#-uyrVyCM316W#Z<}Z&b>X=9+*f&s4Rc&VWqg3t0WG-)m&qBicOb6p<7lyK$ zBSgNPpJ}Q#?Fn5t-Du5WS71w-6`;;sR%@bd_lAA*Ysw3$j_1z68(&dAp69b)aVOP@ zOGYG&t=tGtR|wSg@~3R|=|~u-v&Co&zu^OBEyOAEXbVb~mwkKttJpZ|WleCF^)qLx zvF|(B==en}jp8ZYAMF3;(7#zQUcDo^T({3gop?RkM>6c*1^J%?!KXtC5sgd^N%iNx z3AsjzUujSN1W`35tF5?>bZqdd9oAD7-Ks-k-edNv_Vu)#KlO?AAV?MFTWlC;R{5wi`DnE_2`Ooz5 z$Iw@$-o&UY6W53jNoRCyc3eq&j+OiVk~}aO+s41pg9)j}z|UTc6rN%I6l$Zhl+r~O zne?jO_tir$Ydd@7Eeq1^UHsTNRaObU zU27)VW_sGH#TXMZJxgPqt95aRAbuL%#PM7~D>$!{Tu5L0u*=>Os!Y$Z2ZYt|hv(UuNEz4OhS* zNZ9H)|O(W@*;xRw@ODY~Wtn_RQxzoen za_Iu_IKPH9>r?TsP7I_p#vSJ$)%h@JR)4NVA z_(!ZZ4eyX0bP0}h{XFXpXOUUYFEim4hjb}cz45dK?6>-|xS+#dx@+D7pqlz@4-Wg} zxSwlLKNhyo8fJ`k|1lF-n4Kz%M!UhOIz&YkgPSIEO^mv^jy6kw&;y4$@9?~%IIBsi zL)5pDbPMyf#c>41dhJ7hkP~~joW835N>%cmWuSxlc#=&yf5@Sg-6;^+pLTXS+F>C1xF-)aGaD#-oan@2hVl4+L?X>&|5r_;D~ zv$$QS=ZlZ5at)vsjHw{keqlWA7R$tS?GXLLI$HBXIBQ6NNc~0XOL%q3%BCLPvw^xU zb;*Wp5|cB{c#l(kkM9oK=Rme1>duCB!L1(OZQ-&gV99mMnQvz|NR)Z9S&Xt}XY|3G zO*Deqz4Nq7HmEO)FNxT;oTQ^=OuT6ud;adRnoqMmQ>bG3>T2nYo@p=N@(p{t>fPjF2fRL?7 zFQC!|2#JF9Lbf2FAVfj>Cenl+BE5)+^bndrqVypNO<0hITKhZoo!aM~Tc@gS-Ku+k zRCq$xLl(&zbIvv981H!Je9wMV&!KjWAm}FnVwm$>>f;NpF=k_rq;93;P&#CzKGj7( zKlu5d=JW0B?0^(`9T@Tq8A(=oZ_E90q7U$~=%m!!su2Y3wBHoG|CE_%imqeF53FJJ z`>N%vv+eg~YatZhR~nZTz-1~gtyn#qffFEPqMMs$+-vC}^I}eLdj1SkR-Z*Ht%Id3 z6umberS(^rjz))=SMzLzq0IMdkg=tyyVG&K9#*x%G^_(+$36%JLSnAG=1 zaemk2f}&?F(trPj>dsdtHs6a0{LyR1koildk;UJ(Gz$?18HS@wu-v-_-L30Elb-KYGr_G@Bh7TnmBZ$JhsRABxnmS=yURSiPx~kH zWD5!0v9a+ktZ@WZJxJu5);0MtF82#b-c(#abu-XmaZK!~+ntzT-!sk*32tI#UU%ZZ zmfOxIqTC9LvNsPSrm@w)>cF#*`vJd9NtjZ?C5J#Qf80^a=&?jvUyqCVMnftRfXo0zCB}deSWFsDrKsL33E!Db`u6bqLad-w8T}1GSrFXY1@Q8Qo0wy8ZYKROK0Zk9WSIwV{>Ty<1)qAH}drkWT#El zPYvg0m9E7;WfqpS-B1uzlp?jWCj9369q&KU*pqV8{p;7P6Lsc>cj6MmVplxyO}TVM zRa&kWi7oDF%Msm6Y{ zO!?iB?4_%7|LyO13e1z%ki2Y~dDHF-=d!agGyU}>XaKJ*>*bn<`q66pOI39&>rkc^ z^abE`X<%qvD^;!CyW64q`qg;#^DK=ym+CjC^?Xmy0|j~Vub;j0*Ru{Wdc^nlsFhEC z;NkC62}b_pr{5h?Lyo}|1~L+}L2>BPr!F)Tl^o+(B2R3BIyU8m&d@!s<>Z_V>sQhlCzBB@TC-RV7AHcxzN6jAN1c{4F%7eig=%=(jB z87U6Q`Ld~ysc_-P_V!OJPo~wTSm}1AYf83BHG|*KnU{dii|fgw5|10D(b@C}#ga>s z%J-f$Cr11bvrSDgq4Nt5S{?pco*1e8jZj%t`MYaV!)hxQeGhlgh0=a`pY8i{dE&$J7=VikP%MGdy3Qn+7!E zYN)sRN_~eHkZ2XN0Xwt6%3>z-_aMED7Ob4!Fkyy5ohZ?IneDqd`@PZ|S;f+3tpxky z51&AF!`>IJI-!bo=eSi^EV}q5q$O3|+j#S9hz1Ha9IHEQuYCXm=O%S5%+$CSS3bU0 zJ3A=rRhM<#Mt9gPt?L=8){ZE8Xn5`N@5PESv30BBnfgb>ZxhD~wmZSr27^kp zo9tD+BgX`e6?8*f>(7<+2z=_EJ+|ODvVy)52mEE}^bzt#lg z>8+n7KYVEd`aIFukZ>bEY2dgJVgFZ0YOdlb)<$4jS1)~c^ZQHsiT+zP>Vrg4 z*|i7FhiBq8T7q*28|ol0df#9E)FBn6Y+oyM`@(Q)D88VE{pWqhG0Cfa3F~IcU7rc_X6|{*_K*jQ!O#EJt~(FZ6h4>>vNFlazn|aM z5%wO$p!F!^hc~(u_7x^28=ik@@g`fL%)r0$Sx1g63)+cGZLH{F0Wt5)`sat-k!to_`$f*1@rY{ey#5@Lz1o|)<%&2e_LQyR8AvWRQ9?9^nAuQ~?L{JymM&QVdJG*=Paf}hE=7x{B6 zwFE?Umb-4twU68#Td3HtbW>UTj1HIV&a~rl*OOxmr&0f7GtkQ6DcD(kjmGus$f62sq z(aYfqGJ~_+$MC3w$=lm z)yZ$HKzmVV zH;cNGN8a5I7~Qz|`?i+<5#~G#V#57OTJ~w~h`U5b71mz&uC4op*soL@S6xl3z1sbT zU~DDvW<4iA*fXH3Wy;HBcb~4FI$K);p0zBCZk?4GTNu-fh<%w~a7ON9ysBj0JoQz( zyYgGVm8&PrRu28v@3a0}kE*-mZ1deBFmlqkDY=iXY5lmbWzeaTHN*oI-8WE8UT<@A zvA5v2$|fPb%3IZIJ&huSZN6I5^-W}|`5^=E@j4N8noC7?Pu)aU$Oc+F<6_C3*mK!t z-CuvlE)G<=RckJ4y}FQRn$$3>vdg^E>i4haRMDiwVEMR-xw^1qb<1y0CZ8>y@g#Mw z_0Wmsa%+LjmK4W+iQ$Te$rHkD*;DN?lsC7wPNnY;dicg@=|pBsm`&x90I%nGwcrkAOx%MvchkT$2SfP;!>$#PPDHkGt9dU$rsWgUaP zK0bFoRE-+?#P>{P%$)y_7<|z0bci*lYh8Vdli+SOT<}A$$97m5WJlT;6x5%Mr_XHj zg-N0|9$hQ2dqqo#EP7v)J>0{x6UAf82O{Gue{_s-DXR1?mD>9H`tf%YPN;L6-8Rt@ zP0IS}ryI5igI>W+Sp~Z4in%Uo4~~FIBVG9iw-yx}uVi>ZKUb3QxdZKRv80gy!1vgU zpP@@k^xg`_4;;EQzyAAM`kBVqTE&_Z@mZHWQj3ulUQE{%t2zZ0=!;4~?#f+DyC=H! z_x!tS@9Tt=KTZtyeM{{ZajE`3SA6X>_C-7x28pKJa3B9$Gw*mn?e=5z2)L8JpIsk% zXm(~8LB&0?ZZ|$U-NNA)_8MECgsrDWRHbNo{+Z?_wl*)5;yjTdb0BOjJZ0}(5&f!)LPP1E1FkaVRL24OyE-=u4UJ^Fh^Q%i|-M9Z}!0((({m-eN=2;#(nnD-k z_ST(iN}LpZh3>O==o>2-`!XCb+i~ixjTOz<>vi9KL|S-`>*l-5*28PaW|MEfDnqd4 z-+N&(z{3f1ODBZg`dQ;)@wy;Z3iTWL@n-3Ij?G+4*iz-l??=PyE5_T_Ia@y|nHNP&LJ-A?;&qbl7Q z?(C-<3F>nzi|V0%M{P%^=Rm= zy=u5?H(Xk?lgJv!aK7@gn}4rA66-%3IsFK8*Xn#1iG2HRxeMWbnx3+oeA;G!|7*hd zeA~JG`drE&%^>6}s6@7oOL0C@Mn{!D3H|*NVKRAln=gU5YmVjoi>n|vR@U)-Ov@f7 z)9**0Kfjzl`1%P%IPYeS;hvdZhNJOQ1My{^N=<1&HlCh(q{TQ?29;^S6v^C&)a?T36^(V)}bz?yN^9#Navc8JyQ&9 zQ&6~PE1MbUto)WxZxxI%poOZzV{hqrw$?zHM}EKXb%~Wc`Ixl$%ybFA`)4}#?fR|$ zh2x&*lVa|;2R~cMu1j&~I5jkR^W!kG_PwmTZ`=N&$&N?0!-zU2{Oh-dL(?-JTlQ~X zH*WY__GS1Rg#OND93RvT54L!*D<1RIuYCBjS z>3pM~HoswQ-{?$P3vMD_Y0kV@te<@5aq^htj~C5a4oR{0NPuCe=+$zfB+shyrMbSL z!K(qE;)x0U=GHi|Pp{AIyz;MY^Y?>2DHPXgruYXUx9`ze9=!o#a-n#zbu>SxCii=N zzg~$<{$^I}`ysz?JdGOwPfc7P7cw0 zW_S?)^l|5eF?yTpHyUV3viE@;&wV;H59=_>V#DinoAPfGekNOd^xSnUi%L3Ap*)6 zDmIbw5D_6%=|H*o%~3Pu6E*JJLa^zZH(-YM#@dSf@VwWVwb&Qg`GdLH?-FW#ya`Xy z-~{mke~I0HjRK?|upF1M0ri8a^namvi5t(cU#KE+XV0dNU+8DiJTe{h?=j$eDNlypIcO-kJ#NSk=mh=@5uJYv z)T=}0Q01xD_gFVtjh&`-Kf`f>{xz21KPcjkIXTKyS~JzQtkre7xBtA;O}4jrp*1GFQuqVG#b zS~xzqQy6+{@xjX$*^&9D)1$B2PhRyr62e!00afpN8Q&7sNG=cY1KfJ za*TPP{ATP6MY>yeZl^G>pLZaDs(k+2K>4qNH^pDy*E~!KNVq{Xc>lmFJMHmw zzsn6_aBl>q9q_ZDbRu1>C($=!B?((YJW@*x(z^HHd}^XuPnUMm!~?1KD}KdK9h>Sd zs+87rBC7`9#lv>_ZXL%;e4;AbH-OSN*R_QGD6`C)xnc_iv3K)BX2(++lIC*)N!fnc z_1VgG$Vz|W?T+#TCLRm=-MlK?!0+k#(haX|zN*|?LF%#CeGj~WLrLNp;;mca(QzhZ zXF-VLpIgWNrD6a5?^X4B(<}QCQNC|yEaj<yY%Av(k>tX4O#~m%r#H&#Go)y;5$N|g~^C)q^!=NL_Jm!06 zY}xQeW?f1hph20X_5kIz?Fh_#B;2&SLmSCl{?Wb2<$!A9XV*CP3Ok-r9E-EWHnPd5 zoMmH>wt=ZJKN_JHcB}w)PwQufi|`|lpq5bMUcq30B%VLhH$)>{bzkl2&{q#D=lpAz z3k6@!SHnhWtB6l)I`5u2R28hIud^}qj-|A{c~|xP<>mxVuKicX+`k)z{01e3KHm#t z!(!|drh@qFm}>8D+c`P;>6zGKRPOf-v8$go%3kfsoTczi#8Ay z8{I99xk< zzc|Q(`-DJ#FaabX@8IX(3G$M6?*fZiJ3{`VWA8e&`!5di9#N2meB$Ymi!WY(+$(pj zv`Ngomm#nE@L8zfK5>YIq}0h%3a8JURa4i{ymVRX`VGCC`nL=WE$&)cS>Lm^cP6wR6`l z{#^op*|lS50QlrTv}^bA3wsVmJ@AGJa%$`>H}JZVlJL|ik8YHFaU zID&Ck*P?}iGAsw=*yo_a?zSkYW6A3uv@fY#v`x-T4qQ=N zCsgh{xMM^3FYRQpRpVURAr_uAYr#$0=9?B7<)$&j;c=iSX8JZ?^VPZ^WNHY!iO6_` zX}-XXYIJ~+x!GJ0(GlGLZl$qqV z&W#VQrCuDs+o#Juih>cD0#ROQA>I&Npb-N2hg`omAW0xb5+&sr-jPa^9u3!)EhD^z zt9vW7{i_@5kSP1&@iCh&KVMSZO1JJj)0*NO#!O>@9UN+ivSPGaSCT}qOWS;|Skd{R z)b~%@^6%*tpe`_Ouegyyub*R4*e370ocuj=s|kU$U%_^1v%=>2yF1QHw0LmCcbQxbB7-xVE4O6og>sqtU*O}@u82MhbyA-zICII zOFUEiCKqmgPSy^SY1Q3mCyr1ZYJm{8#t5$)t@x88z!YE^51vzjLGA;~eH)gHo+#fp zKSf)%=#lkK8dwq5DcQ+xfe(Sw${M#Krc%z6FUy!+kW1k}* z#HzuvP0>D`t}eCaD9(;31DzFk=s z*`DHXw7MU4HQz1ueEb5X!=Pg5@j2&)dWh{y>o_;((qMJ^QWG12x&@Hg8YoX-m}xQf zdlR@$l|k4Jp`|$5eL5B&kg8YvRVoml)#)$QWdclxbxbMSe4-u@hdTr0HZ5$VTJgp9 zZ+k1=?yrJ)%JYtK-*59h#_Zt@U@E+6A`Jd^czL}RrrpT~*T5iivHb$4njrI;K6i!5vo4 zlIk+QeT#lF=1rmx1WGu1+ zT4d$8DKo%m(L9ckf?PCWyR_1bD2><;lRz4+Rx^5yc8&a_53lTrDJ}MzVsOwdIPoNz zZ}MGpaDsOzW(udJ84r`;r~!m&d@Mo?WiTc3vhff@|M@nb3og8he5C$vqZmUWTz-J& z3qNS@<{&ayLA$E{?y+B#?_xTlbyBfrkYKD}+&kgv#9bfU=KBek!Z_2hwiw#(X)?)? zWzE!Ywu4GFF@kwV0-`xG%;ui94cP+&C^>J+X^!!O{1JDw&?*5?f9w6RJm%ih-Sw~M zhnBtW-ACAsKhx@1nqb=lid0M#(G~Y%QjMFQ!<=o$@8qccMC%|uDCif=UC4_)ZRp*M zAL-NVxZr6&a>Q~jQ_HnK_S`%V$`s}(i(Xu)&B)TW6TZX`y3apEARP~w*I6}y_7q(h z^$ZAPINo3=gz7jkhNo3J#$@zwGb39Z3+8N-a8c)qD!2$+@I#~y5RpF@g0waAdb@J2 zKcpW?ah};)#d5>p5Ab2c3ezQB2EG+1wk{IoaC(ri7bcH?;rR=AK}lC=!3i!G@#F!z zLDhhc$TUV|!PX>_wdr?BS;qhqLQzygFRxk*D0}{T<7Q?v&my~{gt*C#nt%|shqi+m zOUZ4e??V~X09}mP=0@qtfr<%@*%r+pG~tt-saSg&G`x82o!SJnSxd+KYBqp&VnU+` z+-pC6x@)Z^xK~-5!|~`=B0tKGt-UxoJ*nJRuip)Nuz{?l8I-$wsbeP_L3=Andg~W zHghmB!mv(Z;CdwERVj!hrT-?+t=Nx}`OtQ*lUjf`+jx<9sPPoKA6^cnjj@gFdzcFC zTGlOZ(Fc*5Z5tqYwNU~vx;l_%^mENypl2Eyg+3BNZPWNUCW*4ho3#6;?01IW*!$$y z>V|qQ$iWYN;sHPM2ykRVn&Agg7nogF7_*`1vmQh6JvrQus0&4a55>5n+1Pxt--7WY zvADCy;0dXl9FYSO>5PIGrMrr?gr2(Oj7Zx_E7}R&2hDNDlX_SfCIVZ6aU@0&cdNi8 zIJcPSd}OHPs>q1!rmy-_>7*hw5CP64fY%y(@4ivS`a(I7FJ?dh8l|#2SL} zA`b8hxj2+0bGSJO5?umLDSEs6_BmVJCfM;4jf4WAV9s<2uD)y0T@Ot3n8LU5%zAW zt<1^-B9=ywSfgPjly26z>rfSGu(k07&Oqws$K1Ige7?h6itVR^My`@{Poi+WK@qwK zv%B7n0qp>ZJ{@1kr2Z-N2bd;G{U^tcNfKg!(?O18@q(-tGx>A5 zd+NX`A?^u@ic7@~ZG@elJ5aBUa?r!*5lneTKHCtb$^gRvRZ5zQ02t}dw&Dd*_KZEH zMQ*q;m@G_%;qLzDy?a2)ZD>PnuZpL78sMe#TCwSJWo@t>a4gNVcGHMLUTS0eqkC-y zTISFy91CuSS^z_#4Z9N{^A3uHZ)wK+lJ|O4!lVb{2A69}kS%St0Z}?OeiTJ*RrSiS z=>}E9pD4|9Tj7I~_&j5!oK(-}I%%x#K9sr&%_iR+TB+Hh5|0ywI|;&MkRdRLW(yX$ zO@|!?;BA#?-$|vx`aq{C;oxfnH1)vT;HV{fE*QAkX?~9L^r}e1Xc`VM!&6?WBSy4a zyTE&GjcE;{j!8F6d8mXFWjWeZ zMGt6Xm6l6{TCs>lTJz*dhN!(qP=W_3KIK${&x1Tde3Dy0zP+@ENJUx~&Eh-fBwH5U z3qR0!6j^u|2w-19IyRFltXoyUMSrreW6034FOA;Kiw zGRz{yA%nDGuSr5_9<&{EKjTtx?>UmV6bRvnWaoD2{};ZACVVM94~14CeN8w5RbAs=##$ z^yQM&#19TNBl)R9NTM!5bCu}Gwk&@C*4kJszJ>U@3?y5>a z(2rtl_oY!3*qsRs#0A?kWs^K}G(J-Bhmh=V1I3fUd1a+0Y19hE|oHh+7`426AK|qP0eeJv@ z=TbXfVqD1*=x+xd+)@XuO4XPx`3o86V!mw|3*Eu&Hx2X}64%r^kfyu*&O^6w%liN2 z?)ZcW#Z{JbPCtSYG$S4WV0J8&1XC!fg0#$dM-Uki_|U}WIL4JZ3kQQII|1G{J{$YuqY{%C#3d@*9AyrSF@mWAG#3i}c_oXyL%H6d5D96<@KqqBUgJF) z<@$;%+6QzjDJsxF+oa;+$Um#h=52M9Tmp2=HhH$?yZAU{LO^7ejdn}TqX~xo4&v?%?DI#I7PFd5aa8Gslk|6h0_zx?R29WKgN&O@{{}n>qZ`eecHjr-e!$;wJDmm z86N|Yct0QVJf<|FYN{s|^EsI~MLy_Sg%QC#>e<0DV|YhPw?b^=s$S_BXvV>yoztG0 z7T_W$8QBf}Kf8*Ils^{QO)lD+yRn|VT?6YE6$V0lC|n3z5s;qlpw&zhN6V)XF^y+X z7Xe9T;Z%GfQ;33lUVnxOX&qrY*G#L3j$etHJT*ioV8!Q{$QQ@Ts+dYYqD$_%rzWS! zEXSnARVEeKldAI2JsBbl@=_@~fMd_a!Ng7xKA{c|Vw}1)sh=G9>zLvV6d8C6Po=ls z$8p-IW=&AXpujyPdG_9CVja#b!LB`Z;i=1!6+$J>QKo8WQXUYYa2;7aU?iYNT7XGo zn_wb)go zJv^02*6$&rTlBifegkmntOfU-#Z*O*CTU%h>B5n3p`1#g3^(9RLXNl+8Q98qPU=L` z&4yDSyd5sZC$aoJ-tcj3fu|HA=rAgX5x;<8wh8(hh_2j$l2j;~uhh5s#N5#`OiVLg z0NG&%7%*n_tC(V}v`nPWR5v{WeGF-B;sF=A{)yC4FixIZ{f@HpEYJ_C3J@=wm;zIA%7%`c z(sZwNm=52JhJBQFxOd+j2Cb{G>mrwR>EOJO0W3Fe8Ql3LQzQ)j2obf-x5Ez~O3EP? zA;X$8__!a{yk+$abeQ2|U$QzIN86r!9NRjpn0LkqV4xgb8W$F+7(bdejRc#14yGcV;# z^jA`=GuF5Zt}Zqz*WA#2W?Y~a@XX#FatwM2O(K>nw9rS5rXAARwj2#6w9SG^osPt! zrQIEl0Us&QXtXj@B?f)U4KjJQZ_P8{8Z)jkt1vfWrr$5A(JpO5QLnc`=G1ScZN9Qc z753s3N)w$oLN3>DQi%pT#tb?tbeq`|N~}7E)<9yYcM+l=z~m9zR_o1??_~5(hfM+} zQQdM5{WHD9QZLUBDKKcFp;mHtYAG=;U3wWamNf-=g>fT};@fcoI=l0c9=DkAsCAXS zllRET+SR+Ce?E-8!nAr_;-EA*6qn_(6>6ZA{jzs#uW!xZvXp$%$Eb?VFt!D+2Py_T zfw}^SG09V!G4;Y=3i}GdN7wO66;EMa>#(Z_LMR~+ig-9Aa(z+e8A3Q(eN3=;$?@H} z-2P*3@{o<)Ih7eg+ELG8Nq`w6>kE{hv*QCw8LcwWnE?R<>C*?iUYvY9N?qc{PEzt z@6mak?oC$Dc4(~b+U#j1GC+D%c=FS486tog6VbYHN>IMMb}+H2@$k=?H}-)C+x&Dp zV*C(?N9BJ;G`PSHW!(G2)iD@Ds2>`dg68>JQ@n^^Ty6oR_{_FVV_!_}={?`4RPwQe zb90zuSp)AII+NQA&Z@hR+K$jQ!AX+tv#V_r1rstqCsmRchztuAQo$^S<%InbcT#Aq zKA0y9&@Ix%JV%u?likoNwk;RCpEbM6$Nw0diWV1G9jR#L-`bT)d_Rhr%7hZeCJZh! zvCkh=Cb-Fq`{RU*%`eFXINJpWdaHNMw?5q|7rp$b_H8Cs%jVI!-zjj=_mM_Eh&Ntj zJXWbbyvot(TfURC_pDs}%0Qv`+3W8l`1Xz%IbDHg*(z=hgR#?8HjXg)eyH$i2*b`c z;>cSQ60rq(ID6t(g5-DOb0lb+@Zll(s@3CyG7Ycv`o;yFUjI%oq^)6rvqT#Ig9;#Q zI=6{@<96@jkXK&LZ_W=O6m=!wV~q36QtdDoihyT?}U!tTztK6 z^$PUEn)Qw;D2N0K@y^cE3pf{mxGC?b)W>!xHhC8ke~oEOsMEZ)?9{75w*o1nRxwi@ zXD^+eE7>da|DmI^5{c$mPUCjFYT;sZ9#Dj(n-2-}RzWvk=ZxCjST*$v z&Lem4F0QzsC;fHB=xm;)f{yiXa@#f^aOSaUY_|yO2_ta$cS|$z2=AmDsWNgZ4{;m? zZhe7-cK7K#rCyK}i6$7Jc6=Pa`4izLHPhhQCc(e1Z#|@j6PZt`9cn0WBb~zLeA%## zFD{%MbE|a5!V8d4Sk~x(#A0Z#a7y1sxuYZ)696o^Nt; zEO_dIi_?_$oyeT06_24rlA3fY2F^-}&>&r=-n1&vRHV5Y0xR2Z#r)>^vd&7`aU{vQC5({nM;8NG!*0|DRubo*vE6 zVNRxaJ@x}I2&gaMUt7B?=dC;$e{#eC;Y{ zjmkwtk^DZIGn67CsS~G~CGH6YyFQ9PW6>lFe~gjiVZIiW>5oD0V=nM8`}nw_00MNp zsPfpa{b)E!a%e5#b<@#F9YFV4-CyNx=Wx>1L%$+;oFJB{|pc zZ0+-K%1!QVz?iu))zM+BVQf0B00K5hhAx0*>>$f7KD#YGXPS+bx8}*a{Y0VqY zoNOM~>wR)d376Ge(J+wKT)EBMSkMi|uJWGRxnX5;;KOu8lhEu&7awMsi@zamj_nK4G51Ev;YTOZECF)@=L3e@97L3j+Oq&zk zKA~*-X&6`H9=&rHx(nef&CtdR^Ww*grjuv{;fo!n42AgQ=Jv_Ja}^C9`i$h7f(2`H z<0916E3$8SkUg(u|5D{?U`R;dlX>BWhWgVz^6_8z&R@K>i{Gnp2R9Sr z?Y$dyZa{@2$iUyO1e%y8KddVUmCpIyEeArT?A|_lZf}R&X!n1zaANp=gk;~XddUwX zi?XNvk1##(r`%FC-hCXgbF+ov+_K#&-8(%T zA9#xqicT2Fx*1;IS0Iq=CZFokzL}eBknQq%B5Dt=6)MiT3UpG0Fyb61W*j|!Jytsp zzZN2K!xtAhd9bd^qp~8gDPwm;;97&$s%boLrLU)zRG+#LZ~Kj6XGa#BysmiikBdRi zbQNu~SUuGea^Ow7wNR%&K!tJV_|If5ZtaBQSMsFbCc0OPt+2Xfa5G*=?SL?px*&pB z-TJx5sBIYAQo03Q#kv>uZlRBX{%3X3P8jO_6TJH^Q{f%|**7F(t4tk1ia9*}N-y<8 z2Mkaz>gB=O3oAk}ufg_PUH`B@8T7N@8MqbDE77oC?Sxdg_m^4lf-(s9SuBnS7z)AT z_#UaD$uH*I%R6WQbbbhQb>KIE=Ws3maSoz|!}Hw{&s?W6=l}-yqfjj*7+gyRI)LIx zEFLoh=OTVty8Pve;xSTu9j;vBA+CENh(9lO2rTk2S|fk`rJq@VhgMAmK|$Y{$1g)= zbyQvy>F4k)&8RF+E!KS$$t513j$?3r1v(gBDHqd2y&^$}Zu8-xKMD+>4zR3Y@Xeu0 z2e=pJIuFAQ@v%%M*+qj{(xi>^>*EoZnp^^%oNiGqkCtoyS#VkYPpwowoy*hdrfsRq zY13R;@l>`&ZOo*Gpq$=LRS~V_xz+?7|Kz9M)wOTs0`J_UhsEWtd4rJd9-TAO4Um?~ zhB!JMdWbPu2q`E%mkh-W{qBQd2Fgtu{D#-=XW!t=ZbsxQ6(? zNoSUg9N1Kt7hq2e+!4q}UF4Rbf*JKqAJIQQPjId>u8m80HmV~L*A&{Nd=A zr|wc3bsx#3g;bM#$4lR>{iVX+l-o{R0gf5$FR2SVbNtNrQ*5OJg z2tz6~CH*)x#Njb{gfky^FtZT@>|h&kE^#v}j2~_^tt@cF3@?u zSl{C&^<|Nyp3Tb=a?@J>+qj;g4e(7!TVBpDZxb@F#cu9M^1Za%RU{jhC5FXE_O z2eDG14KX6uj5$Ii5Is~v(L4Ja6;LJsxEIr2{Zoxyjk+%@0xt`hDc$`TOckG2%>bOmd9B_pxP2f2fm~D}) zlote3Q8#|JD9t!eNXh6{^?){+JEVvJ_a{20QG~Hs3?~D28PN zgfWKOw;b{r9=C^-(8qX_WI@pqgDAHNvRXGp}4aW)9doa`5Q!+FG zJH}6bwl6*bK3xujZ1c5%3mjV-;Ga>T=M&1}Ny_o0Re6UoOGFV6Nd&JPjD3W#yd#k; z5!Nv0B%F~1@~ps91reOv@G_9+wGV`}f_aDNYec{hQ$eIyAYyO?BqKLk2f;oIa+M80 z+qeu!C>VFVuSI-8#3K%I#3(AUWQIO@%#l?IAU>dbA@HN9%hMCq)4DyJ!;4en@N!-Q zTMJ;3uX;;x%o$jkCbNIqvU~UyN|y=g08>}#0@qaiIG73xQNg(Yo;v%SK#wN#74wwQKQI+l zORJwyC0jAMl)Na8Nn0co1lu?GT+uU+nb~Mc`Wdt_GZ>uQJ)195I0V zl(Q6#y=VQ24i_$Sj>WWsP0 z;sxyVXNLYOJIZW~j$7ad5>T+%4BZKLrjy{T4@~_C9hLkAt(@1>^uu7TbA_AQcm!oL z*eLA^yD(U(>ptYXN04lLfgmB~ZIdnK!E#tVN#q%xh*ARlFRuY1%a2u>!y`5MUfI;=u~$f{act#HeXINCnda zO}+3FKJ~s)66~>C0FmVdxPiP2=yS|eE^j9{Q+b=uO+}bDfj$ZLjesGr2;%Ic7R_)G za9)ZeGd@2A!YH_y%1ZbVm^4QV;HMTA8!G8fD~Bt;o}vr6O-k_bqS2t2Z@Nu%M2z`taW zM+v^e0c8m|g3}EBm5wgR5N&P;5V@FUN$y9c+!xGfZCnrMByVRa=sjyf2W!lj zxvn{{^Yb~6&v6{*=XxY8~FLzbZmtje#f5$hw%CF$2RCRJf6u4w~N5$%P_ zRx<`jam)Z%ER^S$5W+sB8!*J(K;mCp@CWu;@LZFPCWZ+1Lh z{w1ey)O3&N)TwEr(MCiHZU;_P!wD-sx!P4|^xcvGu5kTA>Km9S@aq+P@A0{?YBw_( z)gdlpodSV>|%&(<52@v_a?VG)BfmIGI2xql2`3U+z6goa8xO8AWZcVl0S(^ss zwEBmkgsG3(>ol)M*wM7@fXaH_gm(W}@6Cg^o?cERB#zB)17TkAZ3nV+2T$UfcasHDANRYKOb=0c3K^SUM0 z7>t<|=6aUgVVyBF_?Ojh47=-As@QvhCd3U@T>gL~1-5^Sh=5Y}ACfTH1z-Yz!H>~} zhPuCs!AShDJtWK|Ec8DT&6V`%j7_qs5I`J6w8r5s0j+v9Ljb2)yyU0n2Ekms!R!_! zNWf|`CCE{CjHq1fYhWsvL}=(2WnU_jWx%$GbKducP4q-WzTnK$Jw;rP3wkfYmW4lj za!qoXP3)L}4nr4cy?uw^(KK*vsssMIFo5gTs0+X3LN$lUWoPhdCBC+QdCN2g#)c;k zV0nbNH{YB0(Dnob*Q+PxH)L*$)i3|2BZM9^NJOhN?ee>kIfkKd=*DGBJ3<^n2k>k7uCmdg&KWQPw~4 z3U*jJ0j?75mlOxo;zz=(ZdgWo=aG#?iEwZL22LH=d-$@;@h+3so5hk;|IHIV>2^0zmVD#klmTAsB0dteYRl9A{M3PCQ4IM^S@>R^mZ_jWns2xq z{lQXiBPE67E5?Z^Qxq)a9?T7)#GGT^|*bZJIlSngQ*>espb9wX_^wCBAZzzUfW z5_BOV(5if@v9H5H8XH5==R!-#qz38=fnDqjP8v3>d$XFxC9NPIsDFc}b0=kCmwHsI zy<{d?s)4G}Vv-nPrFWp^n$_kn?MGW@B8}R*kGGqQxku>hlMS*GHue9HHnaTq8(*4* zs`2H9D~8p(6DW>FBMUOIi1{K~eOzqx?nnoejV^mr)?oqO(<9>L2;W|6#tRF_Ivtml2RyKYn z9;{))-@h^VSy?;y5050d2L283Tz~?r#18vAKYc$7KEA{ne z4oFaP5oGr7w0WfV0pO=t-PwTW%A+wyEvST{^wL%_RcE2A%&t4>`bd+D&w`Nl1ElgT zQd<{OC~XYTXMv60vmiAjFLLp7nqUq2&k6vZN-Oz8g~g-HAz>j~ZH(4<4FM_NZQ?(9 z6}&6exgU_)Q?vE%YsA$fwQ8;95?Q+{`vAhR%9P&k z$~v}@(LnL4a5|8LO6L*Q$$0Ff!NS_qPZ!bZ;?JgK7?p zCc6gn*J0fJj0n#e8nXpU4H%m_EzVH>F_5cS^B_AfbWvW2A^I;m%7j~_*S`=)LX8k8 zwmrO$WI!Z_7aFwC1sqIJCP3TZ1DneR!7^5Y%7F2U!Te>f6}%vhkPsx$5krw5!q%o!)UJ2?LyL$c$pcZ&4uCcei|=8 z)lR4v7h*3T+<whNWVY`0fDM%+c(t}WFp)>Df`_yibA93x7#4Jm$nFcoQc{1t0 zF@;$^@A-@uzcFUJ^I8{@fFz0TD@ec{rLkwSQG}Tp9P5mme0s^QBwMQ$CF)slKyL54hU@zuV zDDK7JqG|xLt!UieBWGgd>mNY_WZgiJ28h!jun*V)ae_61LfaPew@f}4q}-|j2;@#b zkjZK9h6r*0l}53Pazq$Fz1>pNxFKW)Xb{3bk5ab%L9>g(2dcCr3FMMlnit3kgv@Pa z2M_la+ACrlR&GDc_gR0JXuVfp4&K-&7>C&43zkF%0s=!_Jy*n3s6Mb(aT}SeFUb!;!J6HXw1e9fn|Tpbt<3K-Pe-P_rOY~edHVT1g*IJ z+@r_0vfXpk^kJpS6&=gD&?*JDJ{!g7*TXLBk$K6E2aJthMrGZ-vPH_mX$%dU1&gQ~ ztET+sR6nonr&4C`s9M0U4G#|3nHcNXnHX*5-aXxu+97a`XQWegsJ)z}ma;$HPmi_3 zlTNFiT|!jl+>+_+j69F4VH4Lp3hgFso=yDt*E*?B(V7uO-Gp*D%9P{NZm>#&Jsk0D zXY#3QhbRYlD!V@G7*}Q&^lpB%EL0(EOUr>AwSn`&n&SDWESZUXWNjet%QFGQGX?!n z2pXQ{{Tfy6v&;nC%i6N*w=s{o)1wOC$Sm&0nnyWlE?tOJp`Wq8+ZlgJ{`1e=hzxs& z+UlwoFJAmpCV9q~ekPo2ZWJ0 zVNVmbVEz|>q^9}kDm!7K3)oPmLWs*;)uGPfktSC1WJ$NEYwv#jESfO1?Q*2E`nS=> z%WT5@{jSQGod0&<5f0`eZ@*Dt-S zBDzw%WvbkF-CbTlp8umtePWPq8Q#-Y@2TV|Utj(J=}8bt#8jMJCA$o&>T=TTB|h^4uEM*A8{!6 zYM1E)xounPwb_A%gqR$wW>DyYaB-0K-w~dq#lj8kRybjiMEg>Hl=_5r0EOzG`ha)^ zrF3?dTzy;>VW|J<7JM8cD%re`vzUPVRA@=FxZ?oDS*w-c3J|;50#|I_VibWJ91{<~ z>M=RLF;U=%n9u*g&1?W{Q7zVDg7qz@=72JGzT+5<2dD=SqIv((Yvbm5ARz;H343}G zR8J9x=Ft#YyIGkI9Tz-7Q< zE#}bF3_#5t-T*$MfXa}R{jvbV@g>U0?LYKGFd!TL!$SZQ3+>e+!B7ek=mHCvP{tN< zF4X-BWEc9!MFOJw3bpn(W+?sZB7lvg0lFik4c2?t+f764E^t39e*3VyVLmNX{&m1L z@rtjJdFU^PV(nOEGsm0L$6MX4@18lPbbLu0vKBrZBGhlRw;H69VodBG`_A?}z9M#& z+eEXin);CtxJROJH;fk|R(>8<74|tmiJ6z`>fYn--qY*e6yWQ->{M4*m$2Buy{}Ha zX_s`&-9;_H#`pjo8PZ+}xR7@gwTf zj?>;c!a!c=go2R9t1hFdlDnM(j7|?m!J&s9loMA=(rs6tO*_(_w8jskyza5H>0E?u z!xg9HjOD2^aD;=*FugGl-oj3-Ff8vuOa#{-bBiv9oiOlkyqgi|va{UAE7QP;GycYt z9=iVD^DBe)yuGx4n}tQ$D%h08R7N);N9kXL-O0Nr6$pZpJ-1pfNMDO(;Sf;9aNZ^aE6KdsO z0E~5_)|xi%L#|Eiqi67&u>;*E$FRpMdN*|--o@Vo{23N}A=PG-pa5`^#O55C$hYE* z)4`^MPq>QNJ9k_us_=_^-`|)u%T9BG1!+7JMOcToeTl~0RS&4%yohw**`piw0sBRJ zvrG@}T!JQDbK29rXYQfE)0HjX`K)8tncna2NAC4~>-&!qaE^!&Zz6HG?qt3Unb0FL(a&8oHTH*U#e|*^1N_uS*OEcZLVp6Pjev6p8c;z+ zV9%Hc`eU4W8V+du+dQauFS=p~yebb1#lgc=<=>cC*H=QjaamzBH*Q>m8<+^mRYTmG zP|+UPMi9sg9Pea|K;@@u!UT`)9`l(AmM)l%5DiFnq~(C{_$M2oX50u6l3c9pMEd}z ze~sGIZzxQu5E~=*aDE`rfBspNNBn5{BQ-&J3d6h(p1 zKDw-9-Ht>}p_6*a+THyKv>wp!)&EMUro6D0cL*ASRdu z#xY+6#>|ppzy-!*kn8a4Y&#w#hwS=CKu-bZ%DkZ-nhg1<2pJ6}vW*I`As3?6ZXeE+ z<i6!Xt!KuUL<@i5&=#ip?V{(9_p1xS0iLtfeWco8*%FB)?qDO z37xuO1or~1C<5iqP_vbLL*{y+-R)Ugg6EcKqU65ig{?T*Qas)#Q!4?h7zH^fxlB)s zrJ%aw)Sc88i`6#-dqr0ye}lxAe2ViD8g~YxIsm7M_rsq=agu*FR7i4=paN^ut%ifJ6T#Br#!UjJr(EM<hOn1AJn*DIJU4g?#}v?}PmVABY)mLpm# zsoBmvXK*~QhyIT9PaF*|=L%Gme7J02u``VooFR51NAn-B6PN)UHSkKm(6ospo%dpZ z*&{oUAH(E2BAermzZ7^U;x{9XAY2kn&SFQY2}mk9WHs7OUk`Z`rO*bj=Ml??;8W^z z6VReGDY*msClJ(-zDIkdKo5W z61u9_mLRsUZ?2C8rxB+Lw#LK=?n^C5KV{zCBdI0~^Jz6&QJN~{6aI3yHvBN<5qe~w!IxSg-qf?_W8m_xL+^eY7+X>}w8 zG96vX=Z#G&ld&w)I?7?LJ0Vd$u;DRH71=n)=h}ust zS8d?j%(MVwGoB;#J|)Sm)ujSK3*5P0==Cm`{8qF_@(Ao<_2&J2CU%GCxVmqeKC|Oy zCVM6a>|zMsgeZ%udR*@((cgm1dl!U(qEm2~I1~dNb#l2&k%6ZLkSG%-3}9(YOf{5+ zIKcaa2lmA^X08x2V}E4KOt>Ep8+!S+>Q#BoP4=mlSqb)3S%)Qo#{iqYh;7x5U=Aty zy0K!aPv#7x+O4xzM(th0|09@RYRofDHkpjWVXK3MGA?9(8`$WCRe;|*__}rxUcjMt z)t$eTll-PA!d|20w{;&py4gtE=xmiiy$aPiTmA(Km#=f6nL*poPJg-z;yyr%aoh3X ze1d;sGUOTO(s7Ba@KP;#G7hmS?)@xf!P->Zz4X|y+~peSrp-P3rRhJ@<|B`g~gUMdiujzt>M;l|JKa%UjBm z^$-4Y%jT~f-!2R1`}R-leDiyod;``ERw}M@v^{LL{%%-NQsyHa<~%RT_+NI*>&H|u5yk)sG(GmVb89v+JD)t6wo&L<{z77Y#1iD*HniU2m zN&GNhb^$y{n@1n4+y}TwMCi6XGY3o!E5FTWuD_pC%aZE?pF#too#^2cp37t5*D;*=Z&)y@V`U172t z5ewaHtjs`h@e_c5TPxN)3ozU5I4&S5V8DJ4K*Z^pkrB6~%pTm3ut4rleFBux037$1 z1w{V{czHnK_&ev!@W1{9e^ma)08S}O?*A%#Wgy3mX%cYL^IZ*gDSyasz<#6`3&mdZ zfHko*Sz_CMtfpf!pnSrjEuv4{!>=qatGo>wEc3iqDmgSpmAxOgcE(JFu{JXuYq69~ zFJTXEccr&GbImBPpHE_*Ku>;H^*&%mY;$pgoA1xCHuLMnRVO|eG`@Atj^)Hi9;0%& z)u#^tDqi7$T31Nomcf=w9@_UuESv`qZ}VF{UM%!;yJc8zw^qmP^xGz5lkVU;-`Ruz zm-YQa7te|S88$RR-v*IBwRb0^HCp_N<|bPVxd=CxJ@Zj_&JEn%l~e4e@+RQELe}!! zyFaH+|7C4toTj!tXIUk-fwUH^saYf`L_>KZ|JILAyv|svuCR}l-DedS*EAUPBIfd} z#BqbXukKrg(iwmG^Ov>@b8nrEip<^y&DOBMc7ci^PjmS803mLV<6`rK>(N|)SESXi z_e)NoFX+c)to!1t+gnK~dfxhQ>=(5k=9rBa9iVmi%~TFalM*O;OvHNnGFM-_ec|dI z_?+}(cky1EAMuwd2MQkjS{C2*Ox4Y%G z{8Ym;kOA+&jIfC9bBbP10`Ksvq@xHVsxDM#2dq1>{)9NZT32<*^`$Fjj~%RvnUSgq zRY|^F@++h-_ErW%uJ?HQ%bnVLpZFCUdxn{tC|qsSj?q={zDD?d42@*6k6=DO`Jn$nw{+^0 zemQM>5?lA~UX{?$bFSaN-W_jzs24QYMM>;V!ODz{p%GohxBG-RE=sL9Vj~)u)rvz< zrW@vCYWM=}NB6w*R@F+26f00u&H`XQjr)X5JLP)zSEpDwNION%vR<%Z>h2>43GqW? zXpmcJjfAWtC^RzkG}pw_htk2v$P9!5-Kle{YVBT-EDg~LzxOrdff>>6<2E`^u7RgO z2xZAVQY~On2@>z#YBA3x#!JY!Vd=pQI~mqhZm_?Nll1rO5-Iz89%SNoI8*#2E3Eg@uB9Ji9t@-wCSnoAz;vV?G%)Z;B3~FY}*6HEKF%YJv}!b&tZtjd($y<%QkQbrX{fIz| zA>ISuL)B%u5WpOJT_ul^B@FTxF)$8&7LrZ~h%|55u*80Vt>8yr%D^j-BOJw>=NjnIdRX-fKQl@w2fIuN z^00T)qDgH#e6P8`3V732`U|{xp-uEY4PNbIA&K8z&xXm^ckWOWp;9IauQkeC$*{x< z(+;)biTy6k} z2*B_hZdonpc3~`RE)&?h!mkB1Ruz54FPWPZ8$Pi|hi5w8SJWp-XE#$<+^d5WOP&e~ zCaF81Is>X4B|`EPznl^YgOLXyy2Mm;5uObZ+XHN4!29gN-Sd0^iiK1HFFzB?%~5f1 zk!jr|>^})xGr8xW3&`QP0Wd}rdm{wrx?Kdc2F@}!cq#5Cz*m$df~p2mo{59ZO+*I9 z0ywXasv07mBpOMLz`aTdhi?n{s3EJ72%jbi3c*bK>(7^IN{7L9QH<0<*FaIVGU$6y zkB15-(am&05~#2IaYC~iao{iJUaBsz)~#iFV{eCtPHYv7iutA!#@xvsny6lq7eMeQ z_PU7d1pQ$DDR6c^6W)ZBC_9B!$%dCL!+5wGo*N~F6wNH)iiPR}<;MEAwV>;%t^M-R zM>6@R+fgn10T0E|Zu=LKq^D5?WkYBn4N@ZbLhGp=xHZhnxLzzPht$aoB;KT#4~=W) zqim9j<$)qHPGlvB8;{G~d|PZz=DefJQ7sXIkk&wwN5bL63k-N65Nz>u%fE$gqpXIb zi$;50;w{ayd@ayN`F?K18VXnlZ+gK8xQuwnhm@$LDR~b0Ujx5<=~~EU;ZP%J|AQ)$ zZuF9xWI6B&g6t$!p0Y>ajcjAfAyO@b^O_Ow+^`KbP;)p5(W$kRJhJo>an`_ZQ5CBn zgPgS}4p}dxH;~%Zw@C3AJzgRW;5&RR)B`>{zG@>ak-nZvpmu>^Z;FQ(vj22yYU68erCA<%jVr1tXokU3_cUJ0H&R=%CmXo`IQ-nc6Ac z)>W-$hKU5hQO*qNr*{f3Jv`wau89r#;;DTFv{Ix7%%d+pkWqPU>#? zLK0~+<)O=ooCy-V#rs{dLP9lFNkX4xE84EOeJj*cy9)_@dbH3X?2~CvIjq@raaX*i zo09Ea+bb)N;7axn2M>eAEYsi4FOxGg@3cKfSAo->SrcrnwCc%Kvw~H)qg{#lN9Dv` zW(5gy>sLc;uC>~~wbAsCPq&UTX+WiNp2{p*P;fK~Zr7;_$9y?&nWRWyKRfuL*_Qg? z>4#x+_WYQ`eYGF|dE=weoz%Y(iQi_TW9k@ftZ%wR`ap`U9gz66Gw(0S1cGOQt5HNW zU{P;41UJhc{4i={A$&r;wj}ezsPUx3OI*Rsq}y`r<;WU>#jPwWGXU?OVZ84n*!=ks zhnL|r;KVCY@oWqRbEUh$bp<;JzVZXb%+=*Q`d*+0I<4ioF1s38 zblUXPf;{tnXc(yPeGilO>{KZZqJN>^W(rP#o*UZJ98PzJEtR7JZ_E??(PIP_p&Ge`y{wvfP}jD zQt9)n{5Z(t3G^6-62~WKz@JzPx#{d=%VQ;E`bqCcT|=jtnbYr86~kUOU64x&7!T+X z)OUT{VsOvrG|vIE{$S#~R_3Cv5fk}I50ut%l5$szAkgFDm^%ZNUL?Y8YS+M+HPDOo zQTysTX3xFWj28!PnA2>w0T@7gj&&S61?m%LHPwQd!AJsoj1=-gkpGS_V`#&ck?eK; zjTs!x(W_@*KpVyyRT-R60@i`45DY~h08&uFW%}JuOo>Rrg1V0GCVonc@mwN>+6=!U zOiKzQft94-lYsH=%x_GSl`3=L`tx=GH43D-w^a*PfbUFqGKz8s7^}!LF(Otjr zkANGfcI+Ov>ZUnhlCFPBm54K$b0`?+zPj~L(_Zh9xl%G=4wPN6gG~KPLnXz7_SH6z=0G3F9U2DsVxO`6=xw2^b_5>&P*_ILuW(W+C$YzR~h;n`FT#$H_b6E zGWBhSpa2b=ZhL+RU}3_8$UeQOYIVX-_cj>B2kip*QUcXzTZs3h4GhD04G?UuEfG9P zfDAjJOuxkZOJW+z1Vgt~AM7VBf%nqke3RNoxZzJMQaA>T z%gr_9dctzBl%A?Jg;fIXxFY8z_gB|k&L2;hqv{GwlPC(xX=y*_P37ocSMnZhPG~LN z6c*4GmgS>;m&X!2F?dBHh4yQV#gFiX&Oa)TG!>gu0tLZ*;;mBkCVoOcd4>^3SE@eK z`n_+ktoiQ9snT`ZUcB1#Y<;eAHrna)KVm!nI{}nYH`#mF2gKaXzfFP;F*fCovvb|Q zZ5#aiB7RfNo6~e!b=RE3u{xde1>u7(lk(Kn)J}U9Ak8l~ZvWV~J&-~DVsUZ)@UBOB zaczfPMDjR(<7JPS^*&s;gw-W9dw<$A%c ziMFTL%+&a8rEi|hEd0jYn{c$yGG>iQe3qu3kTIJnI1(}>X!5xBZVUtwes=8+Xj}$CTloPh6$J|d!IW)^E@x1VbT(=O6LeKVpw3Dg9oH+l>;#~FxnKAb9 zq5jhQI(f(Tf69#DPXnrB%hG#>=eDVwz-Lxm1BfaGJT%im7M~58hoTDBpZ9)+I)}t! zN4LF|!*w_;*Bi!WgD7fy{vJQ4S+)Qrdj>UNiNk)6XJz{4p@m^7{PBK1ZfLsDXmutK zTc=7^%4k}sKieSY&_Ootks*04sOM||IXn_r=n~rQoac8qtH~UI9i-1kGhVUh5gUg) z)SOw|;Hf9k`7c<&!+a}RO|$^A~w)r(YSY)Rs&}DNr~UB#Ww>( zgM;*$=ozz-MG4pMTsWRWPr5-taDcxq|0`*VEjAx+l+O0 zM2Ju2MlU=E-z>xNj+fv+$1@sKW7iUhzcDVrC9#@0fgMTcxZ|Y*!h}BLbU~90qMT5I zx$u59L>zL`m1)mkw58FzDmH0SL7E_>jXCL_K?(mtsX%m+yFR#{s=R++cS;=4c+D2i zNg*M7gT%~a$q=cmIrqJX2cFy%coQEca!@ ziOw`~M#;|(OXboLi%WmcyQ#crt3EgSHZ?vyM5E#7PWkKW@7M;7ecsQPW*A|@hOFjs zT~9-rSBzLIc^s}=ntjdEo7TP1IRqvt8X_e}3&-S*++c5zEQEU@DRz8o zrANWzb`|?SMc*`rompx_?eoxVp|l5wMDoMrHOTZ;!A{%b?plO9cb(A+n=u1h8P4D? zbGX(@Jy*@vgZWs>*U8rbF^BIAip45>q~VTW^7uO6pnJ~rEPUL2XZQL$0Isgkj_D6u7EknRM};v{~# zk|lE}gcf4h)F?5Sgdndt+_@47(46~1*Zt_p$Y2Td!12!2NI*xpFE~hFTl|==f>!#@V_1ocY@lpn=syoEO5Y%Rk45H!g|0!mOs;sOo14)Ah>J!grTVg2)G{Z zgow=f$bx#d_ACP8b?nH#ZeT+IXWUh2be+vy2cmw-(6{hKXMzJb$8{xJ38{;5ofOS@ z0YbK=1w=lROZ!rAh;V?`uo$@Q55hqw3^ZiF&8Srv@D@#H$$d~=Oag_9AZo)waZdPH zq01ggf!H+;cdqd}vvu|DRDcIt&QPQ73YWDjn&|LiIDuK?q_T$7pu_9|2^Lh<1-!J28y!}lu)r2Ip;*x;Z1K~e;#us za^U8Q#LEx$BOWtW`lVQJblYpC+O&|Fv*pf&g6rD?qhdeka8WXxIFPKz^Nz9%5KMX+ z?MUX+SNWrg9fL6r-}ZU)3(zdyujPjOyEw)ZN zua3!c@JeBR$=1;U{+&zDKfE&oWyr~UU#wnOD;;`YSCC+uKUMT^mX`GbzhplM#R|-c zExVe79a2-He`7|AF7Ko=2Rl~p1&gr-rohgD`rr)s(CQEOGU;)o@Z?x*l^-U{;YK(9 zD+subqefP&BdcF^f%>p$luY0&X!zBY$NwQ3i3!3WV}p?IR=(PRQ8+ zQVe^3!dmU+>RJ$@ZeZoI8*4}OkMC>$$_HH@z`|CECALKwpPyhA6dGY$fQ?_x&hy(K z^aL#L{WCfQKnr?;mo>@5SAhb8W_E(Na8iL0sr?SF)DHULq&oqOqL&ueabTqBeu#Jq zlSo*q8hNt$<$Ik#EScoeVPG_dd;I)aZmRE|kH3nGHudLk$|$yZ@Ea3mge1=qi$DfJ z#-`aKxQnu{lpMjWdPiU8I~UGL#v74`jO3_YP&)NkE;>mz}p&mwr!qI+G&=LH%AoOhp9 zCdGEgVLJi4j)eWIGr9cw)v>FvOM^(=>Ss-G!0L0c(;hVyuR14B7&&?Lf4&*)$@*Wl zW8>Iy69L>#Nyef=q8^&Uk9Q^Oh;FoQ&+pK^xx(O*K}At>CD8a}j(gNXo>+uA~B-uBepb%JNreiM3WN^~Xa7mngu9;rc7ePJ|cbIns* z(9S}!{P9r41b$tY{QhH8AB+LN=Ng$Q9J*34mmHyVHeLgx)%GdHdSMRnlTlOqMPQG( ztYAqOrA8-U3L1321%nl*VBPr$QPG7%mP_s0cdH!_dk^q*0_LexYKtVOU0LnuYNKPG z7kVS#I<4M$)dJTRwbkgCmZWg0(Jq(up%cK*+?5rCkmyrctIl87L1rhM&nT`~4`AvW zN%(bSv}{NFMDJoIm@Cl8Ugpd?bJZd|+}T^q5@fBqY-Bv*eC8S<#^bD!onPTt2Uzc> zCqW)KD+wzMY~kmF+0QT=hE^5w=>nJ@N+{FzocmM zIUFPKhno>}baC}OoJj!N6t`j6_o`~`=8@5)DE=@otOLwG4hjm3>_12z)1V(3LY)|0S?p}!ZWa>&zdjR3J!axVSv`VYC{3U^n+D!eMEHCd=|O# zm#h_un>L=GGe%l7pt>>`pAi`$Kv651cWLPtX7AP9>4dleaM5AmuaxPds*bIe2Emo) z%Zqfw=oOsigP=P{;_0{lRNF)A$j|iz-M744l`ng}t-e*7UEKI=(_Rw;tHJ8SnZ}oQ ztF{MRJa78qvcv_X1Yd%;w*WU@2DTxmbQb4DpOR$bH_FR4tbH$)%lMtJ(TAh*b-0j>QJVA5f6^D4<*(H)qtu{&+$N%Av%T2VER6g`>vgg3N1v}6R z9B&GUq`lTcQyN88P*j&reavO3!gDO#8gvWArjy@lxfX1-rZwQ=EMyX?n<&SHlkt;o zVdY?dI4o69)fKL6Gx;9axYoDT$3nX5xi2~knpFtgNimR;WyAmk>w$jbagaO`AvCm> zq(K8EoEO*$b72(`_5uRi8qiXo6-$+&;5(6^r)1FSL$3iRH3CTDMn*hSklYWm_B{rz zT^fih0jnzXUF)Z{!DGpcrmROtc?-53cN14$RUg>97FCRZ;;N`t;KsPp(7De%Xer_e z_Jz|$WH>u#L~AqJMU*AK9OBwdNDW|P35t*3c^cFRh7wJn70CX$`j;@S$#bK?j)s_W z!AbeK0h>{^SKcs1b;4VGkzy!G1{wmW!noc(c&l!RTev8j5MIz5II#5$6Wq=7D@O?r zIFx!&zO2Zs0c}zaS)K$66ll*2Ac`Py6emdaOV>kmJvWw57Xzmm#h_^ZUL@#OAmU4& zqB;~O(9UdaBfSjtk^ycrregJSK2r;AgqGHtf}7WT5br`e@#}<*Old=^G2+yXH?`rD zzMe!C7W=5QNEp98JY;)i$@vZn>rAe#b@*RyIp z@fjdAW)RLxj0_bJDs0YxFCJ5UXCc!>yp5CF4S;ni8QiW_fK8kU@IKaIc|g#9v}jKN3*f*ubb?a1!kC;asmNI1@7cSKE+gld67lX(V5oJ5=9Y#|F(B*F)0C)L2S z`*?I&(8nE?C4vb`asa;)omvT8ZJ~UAhPUJ~H-Pl-V=%z(-GUxIsfWPFGsG8;@qt-K zbO4?ejERJ;$?h#Va76m*wYfxJ0VFzyg+B{o6qTL_mJDe2I71rHeSuoM?T z;JZ_v`ki-`q4r^hwpb?Nn?-iHVSnYe!fS(7i(`ZdVaR?vEh zD4K+&#Nqxp4RkMgsGcJ@g6lm(=*{QjzCu2};n+ES|ntVU~)v)#LK(mo>t*aUEUv9#D)rBN{1a18;_ zzAkhldGCH<2YxqPm0c&`dv@JB0N)_QhWK0U|I!{IS|i}Ro0JFm{{k5MJCeUqE9$?T zKH#XDP~ee3XPH_Ptm-g9&_Y@tLWBXoz$HlX@$yi;2OrXa0w~L2#-J}jPzp#8IjCNT zD{m*3IgK_6Y;O#HlQ8DbN(z9WY}gxp5*nkYWKHtP!QQTXA%-Alh1`CmWeHvt)@USXFz~CsA%Q}A48d;< z%w2!nOS^Fzm#EfH_C6(`MdQ_|pS84vhVh_FACyGZ2C*K4H=&T=IzIb0{Y445DhdK- z@&+*3Qb$N--F1P9bS+Dey_WrK3DG2+q&2u*rUwc7L(LX{3fL1;{ybVzzp9W%!>=a?)-%gkw`-UA;?`4j#}?)q z=yA1s;hdlxWH$`)zS}i$R$1bB#01aHRjsu+pE*=F3WB#yj%)aHAx$#P1%wOi-UP(!x?S+86fuvh z$F1D`)$&5tu-2Y#la-S*^f=VKS}-@{J;K?y7ea!WRMHd;+^2)@1?Fndumld%a~|Uf-r5uh%Rs-YJz*{ zyrfSQ3H?PWEx$1r7@$|rP64x?M8lO`&fo<|iAVzCcpAuvo!}-axH!&Qydt>m3pNkz z{CpmQy$z`HFW4GF)gU3Rz*8Hzk6ssU z*z}1JrM2Z=bC=s(JxPhI!Ea*FW|%S21Hh#p$5FXPTLwJQK|-(-hqs!^rOOV}<&jWu zyC?FeMs-?Z^}1^Y8jh91w3wmJ+P@itG*?Lq!H@p6fyW-dCsf_v@f+h0twdBMPYM1| zpSLJY!l=~+R$VK>km!q~5V{Cddx9EO&c6y;UAqCMapUSId&c-fbrEbDseDgvHzZqY zMsa{;#2ZGL(u9ljIJ{O1P;GmrS+(lzR6Q_W<@tz`>>TLAc7xCEli`Jq%ZrWRY0(@I zyEl+3(r#NWw;F1KR)6O9;biDp%hz$1~_7%8!)KQ^yYT>YY=Y92u$t5FIy|yL!9-GDf65|sU z4?m(GxkjdSA^s-+9DS{klNxL5KW`6*g+zkJa9{|O@lxcwBJvEh5^f`{@c0}VynV`( zj5|%7n2fG9uTFP~-O4be=oAj;H=6#?((rJs_PB7lO+)ds#LH#656rYz@NPo%-c@6FcJkEmR5^+}!~2xq&vFek1POwy_;yxOlLbfK)~p@?e1i?F(SXPyUsHomY0O z)1i@?O9{Hsfcz$8xLnY;R{9D`wSq(Ab|a^Hg6{QZQCT$@$17LtvWJEVU;N(AEI2nW z!;LvVS$YT*W+j*tpfJmDx)L_`g#esLFPARSiUYf|(u?#0o1?b8GF#AsRuxG0iBJ;y zruH|647&e1o9`8y!U>qHu{y0MfK#b|{@xhA35pUo#SqY4q|mSh2Z5q%G)r#ozZh0? zJ5tsnQBlY^WOmsCH-;*o*msAZ0|O1mT8;guVx#BdnT+|*K4yQaqWzBDUxxtIIoq;) zrCmQDMxLV;M~)8Rzn!`jO^DY49H#A2AuAlirhtEE&(9T}z%B|Fr0HMVkVo^i%sfcq ze0(3f<6M++u4Zscl7s*dms`OHV4(voTUN>pf$hPB6306sED%Bp)@p745mgF}u3$B> zvn7C80mo$g;b#`<7_cAnxM>7fgXusqJlrQNS-BTC&H9Zg1>CBf*8L^)4Xlp!r$QR+ z=57a_4n`p6eeVq?b_(H^zgfq!-Pb_Q2T<*&-{r=@xgsu-0CwF zoFFe>5q{kGFie=yC|3&lV(i%HYMZhBhS0wNj+Hsu{IRX+sY%&`IPw1a>loOdKS#4X zCibAVW-7oDg26qxmUestDXwb0ywRzLZSq7{ArybtPQt;uh}=6vdQ5rC`^)0c*L24a`+!m43v{-POM2%&@vu$;0Qo zy<-t(S(WOH+ZCrLDf^1;l@9ILeeB2-H;q#4|9{wf>!`SvtzQ%p2oeYZf@{zaq;ZFa z5InehkOn$PqrvGU1h+tfTX2Wq4#6R~TkzoSjpi#r)fhFtF1re4hCUH^QWpyU;E!TS7DgLysHnBXg+oQ>(-@a*pc&YVTX zE{m6SaYf7JrBn^`I9DziKoF&4(*F1srcoBbtoVV(1)xC_P>wA#_#ydl=Tds>Wp zB|N%oWH9n=cC!A4r1s!B_H};Fl&h7Z(l_v=%V~4n1f9S1Xi+4HOk213bT|6)<;WE@ zeWqG1Z!!&;pt0Dzl&RL2Ko=vf*m_ujcj>gF_wL!tu+Im|xFJ3x=VPpBhQn7XnR-^F zB44Y^s}23{^VEO6%UU?9!$1}*i@Z$t4zrs~7AvCbLg@@n2_6{XMpp0}*%{AmR1%-h zK0nS6@20*6V-~3ca0ux-+fl|%#@2@j&^c`#=ja0p)~(~unAW^&;F}T+fWHbq0{A94 zX2CCw5}rQ@7~!6KVJ8VvK~V6F@Z+v1+3D{6aItXTN#l#_MTFI>}wYAqxP0fMNfKm$>Tydld?>*?YZk@J$6& zM&KeG@D9@5$ac!>Xy#-0#k@Nfpmpod%n#^bvrP@srr`Es z@22R_cCdJ3eYis%QEaV5`be7M|B9 z2%UB`APY9SZz%;eQXH}-F|87(_=LBkgExo4wu?2LIbAU}8VWiFj6&|s!<&Ugha8;& zAp;eWoiQhQN>TyPLEe3}&O?WVyK`0s60JrLZy39geY{55Gdt23a4@@1OKjQv!**?t zHyA7TSJTnaj-4-&y}JP`!~i%1KJ9D+Kb)3$WYM02FD#{{c92zj zL`Qfut5cNyc*s+5qD5~DgkgC@HEf=2oK2fjYWatUsXskU{fB-@*CW&0pRz=B6+}vH zQc#c+I61x4i24)-c`0#69EJ3T2E34$@?|d&{BmIWUh6WvS;ZssiUimS$f{?!A95iY zJ3dZzzuw5vkvBc}io2TE-BXaD%HO=II@#zhw3PR99!u|)AVFVJ*Z%c*DzG|+@vIn; z0YACY=bPHdhY63VhT z%RK(1?V8?NCS!YSwLwb6S1Y+1k^d?sE2SiRr!MPC+^@5hl4Xp=ljneQ%!W0~Z&%L) z8?AFDE3ALohLut~EnL4kdXctf&_q3=h>=Ja-IdacmF4a!Qw-Q}44H%&e3REdRI$Zs zOR;ax{9c1=x=ZSq?oRJ}c%i@jG#wK8vOB{Hnn4Wp2LZs*n}Xj19KeB_2J{{u@{Keg zm=!fCbq3{3p{zB5eF51rVpmYZVqLVtIp$XVuAzBewyS`o=DZ80I>-y}2FI*u8NCrd zY1jC5;}O1qXGE~hG9 zyjD=NPih@Z^rl*Sge-}eMckzGC|um#14OQC4d>2VZCqZ$^?WK&BLI8@pPZM|z5TC( zvX&zSEiQtZsJm%L;Yxs$mBka# zd|ku)pN1smODVF8^jUOqAOLI26|qNRk24RbKG%1^gmk{8sWF0PJr1x z@*bPRLeN{dq(cX6mf(Tdq`_dUXS?IID#?$zwdNRVePgy?_uxyjxLb1q>X`ZS$|e3C z>(!5{l}LMy+<;vd=#s5^O-<3<)uXn!^ikBM?^;^sQ-HYOo$XY(^=kQu;5Nbh%{iK;583hWi=tAe-_2=8 zrBB7tg^iE5bCC0H8lCy>!pv(MBR6HUuOpkxibI|u*WcU(2+mgZP3Iw|?bO{!`ImHeGPI^U6{5qHkryoef4THM2dPB#Vec+tu>bX95rER3mquY?v-P}c>ue6JoaQsBSX zpZ62yOPvzWww!+8lQz|wy&)(SV{9EUGc^f4<=vm2o@ogtS8fYPzti~o8juprz`o!T zqOTxSz|dYLV^SQNI;m@b7-+YLc60&}T$j&}FNI+ER}u$I(MM`^URrH@nn97+kaNtF2 zxq0#>?9l<=Tj3WUgf$K6_s6z#ZX`#^dbKK5{YQXcq-!HQ{EX#EpWyfAE9STT58$Wt zh$grFo+U^^`HQ1hfUniv80Icn6u1F3x4-YRDgSUC( zL2U`zE7jvHa@_=t!p3-91NnM%FWBfO3RNmx`|7h!M|9%1XLWCO5Yipfd_m>G6sB@x z<+CukQ5w#Ti@9(Y7(8qKdDsE>hwoF}EO<820*^nmZ0B+cE9R^(zyY?os`v`OY#D9) z@v@$W(Zl*iL4?ARKpe39#6^CXZlpX(bBRAvFZ6z!?yI?1Df>aLSrd-6&=~j7zRD5^ z*(NFd$)VmWJE%9sKF(m&mn|NZ%X4^TA@eVG<`&{FKxF4>@Beo_X2J&Zb zeP=$A`RhMuih;L+<&gS6emMhYYC7)$!eh!&pPq4|PvY9az5GToaLk(6BQvr8eO zl{Yiu?oysOcRcVQ%?D6;BmCPNCjJ{xe{SMgeyJIj$1)I~2N-c+U|k+l z(0F>^xqM&;q^@S11xgH2ykEK_c2ZIS2d!}AfW6&8`y}=87i`BjCC}34(k{{H_-rKQ87!n>mhUD~uAZ zyX*?9_MTK7?~!h(2--C2Od)nXQ=VYkGi1)Mp*-BQ%AeKTbjut)VUGL3rEj2MPg{Ni z>D{3_l4l9Gw;O0Q*MvSiy67*IKgM$5Y$xV7+Km>A z@tr)vv^m}dx=%uV)J7aun@`7RnJ{I2L~fk10^ICrk2z-CQhRF&Bs3iu1*UyT45 z0@P=Ps!SCkdg@Kl2H+NNjQ#e+5AC_GtsNJedInkki+vostP5`K4dbb~n_BU&-+qSH zb_Sf-Fvip{G5iXDW?}CNziFWWj@?LctGnbs0CsQ{9{4Qvr<+ow1bEZCW-C?I zgiT9V=@d160p^$a9c;OgX37Uu^|j^uC!r_Tvtz3zOJ0s`H*^yo;K^dZ-$63`Ws08~ zK;7S8Oh}L(-?gp)QMm54xp2Ii6GiwJY@8NDAvvzudSTQ3_%_)RJj3gAltTqh0EZ0A zc{QP{7z~9MZn?zAOpg8^tG@qFRpmf_P;?5Z0 zDN;Dq4$pjbp>d^vJr=c7@NKB)QAx=*CEtCP7m;a#z(6tDSH?j+?hI-qk}mSpz7GGW zbuiHy$|p0%0~oGdJsI0ePzU1d6_?CPaDPDHS?&M0E<6sz*dode!K6|ywd~FTdu?R ziyGNP3QDFub@o|9qoONZ9QrJN^erneY0fJh{7{yFP<&D-6x=+G_bi*<(4C7Vp$k9f zyeFu{Jxv!?rd{dUBTehC_u>*QhXDB>xop9V+s?dFBDU|-RflCY5#>MLh zd$&UUSG*rxt(0_Fm;Ku&I$Yzvg7riJm68mg01O^#&PIIH{yIpR>>2a7_g4E;XJXOBw zPbY|KqmrLT;FA(Pc|&C>$0>7RdYBh}OX6(B1M!F9{@%Ql7Vm@|7jcM~0xf7;4HDRvuay=cA~%%ZAr9Z6DadLL=?pJkWQL;^Y)VD*(>|CQVhq1{;?%5?~sS@@y!) z8Pny-quLI~*mMEJy0&jO6ABvoSc!VldC@OjkeZ$>>bcOP^@$2zS61u@%j0b(-`fv> zT+gR)^XbN$$t-*xEhfbXmby1|9qaPw8)%^N4OsTaV?M5jdB`Tcc1U;WB3+RjZ@-3o z%T!@-Oksl4*pmTL=$*r1B{mx_g{X>91$yS{XQ#R*v-0;BLOT{D$lWNdvLwBqdP-lH zq_ko1G-fUv5xQ0~87#-Q^bQ!OCl#EVmwvqDQn2h+DOo6wC4ewTZ5(O^+z26jl#fh@ z$}dGo2_FP=zu)A`dvK?=J`g*P9ce{({3XU>*!K@OlQ_WSRF%}%(9pHd=Ud2~?>Z_o zy%$oXsulQ1#sWMg=+&nArPR}pX=R)(o!F5+{|?es1ETCwVXKj+1`iS3E;tYdVx7EB z#;~~L^@TjaAgd_M;LzKs++lH><&DdZ5Rh1tlfMUM+7jF?E>yJTv60%mc@a%z85Pgp zO-w^9_4ut!XAhQTeKMzlqXXYYH(+HO4$zEi0iLEsRvpi4YuKlSUTROTl6l^d!9#lS z@n~Q#!#jR$xk0lW{}Ya0k9x*NGQwzl(CG-vpS&V@T{{HOjI!l{cuV({@SmP*HDLnLuoQ=ybLqSNmqb&IVY!nV z(=P8a8;69UM5o64=|DsZ_}dg5VP+snts@f6E5vfo`358Et!Nw6wi*N#0 z7PY*6C_&LdNLPi|IpBqGs*ZdWr%=SC95NstIA%;*D+Erok)ejCo7< z_TW-K^|}!rArHM73&`VleRnue9&%{YHz=)*Hw|wsWq&R9p(1z681iPnV%aBZYv5(g znx-N_7|Bdzl$gDaFdMPm+cB>np~o7y+!0-xHYk<;nw}UE7_pWu|l#RSI{?=kcM8+e>;DvAgf|Ug9i$ zW6mwil-+?u&$%WzIkwWav)FXak_TuE)hznlHEdYin-*Fgc%NEXIVj5RDN#~ZMm@dJ zw^XQmrVxN#Eja?(wJi`X{@}enLtIjAE%cpl$D%zP!RK-sw!h&K?gMPb_9pgxQAR}c zMh6i;p@*<9y00!B-JJ%w((nrwX}d(N-(agUB`3mb`F5yRex&COcip?eU;-qS2MF(e zXQQc<_URvYjlgf5q-NQmYm}?iMF_Q#j%O262`$%_R3$(0PhpX@)l@}ZiKeO= z*pW1S#6ECvZ$do?y;qJ0upLx|nr&6A*7`_S*2SxnW6&kVeZk6-h;$EtFQgk$jwJMP zEzW(;QlX8z0_V5VN*|h|T7htrMT8xu5_m%aZ*=Dem(Aud#0x;t@Nz$9 zDk;61k^uo-RzTa{jkz;44BIil8~Nr`2dQ-SDqtfqrj~i86~bi!=%6j{?j@ukp2W0w zpAqi+w?_4Q?}P`|Fm5e5o@BCyc!^q#@^LVx&~Hq5aU$T%lb_#zD~xG z=&)K`wLTgIHo1*i*k&IBlheS#XPklx@QnO2_TjdSFqO%S8R`zVe1~;4veC7WR)fNj zLl>_#T?&U8vC67@)eh(&Mcs{5iI}Ir_D+B`cPQSN7Iw9DgwwJE2H5p{CGK7ay#tPa zoi||lTd{fb@H!c6i4!n^4F&wyS#*FXzNgC-+bqoc8S)&6wAACW95G9zS;h?C^Fxz` zpo)hv>X4(nltIHN0F>Ju7rTr3h^jXCcTICaz$Rc1PYde%aAC1@;YCvl(WsWLS)~O) ztCdpd9gRS{!2nFoP)QMyCGzPvJvUr~Hd$)4-><|+uNe2g&$N+0*R=t6ic$|czgrAw zuP3#hu#JmjohEQ2Iwo5*x+1f>RB(;-Ah}`pgdxQD>tt)H;`L(dnIKE>AVa2+?1wc~ zl{LeR6$r1z?qqr2Frh%}dMjswhPs4+ ztak*i1Dnhrja-Q@4Pa#NJU-x^nC zg-w@4D3@j#hU;Zt4J)jAcMbh#blm^9k&_%rJKxolE#W&&19qgI97Q~bbS~N2gHDAq zb99ywGzMfdvY{<>_MN0GbuAf|Z%`%gW~aRo_PadwLfp@t?lH~ve29?9(NQStFKa|t zE%emsc-G))U(L83>(G4ciRO;tdpt!$TSOv`8UyUkz<*H@)T00dF7sC^^?`qcLiQ(` zqoco^M+y(SY3^H=v{S{ggfnl8*Gjusm*IH<*7a*nV02fdR%D`9L%?Uff3%Pzlyu#l zAtB>^g@vr{6sm7UwVorLeV4JmlmYIyT@Bi_e?x)(J6iPrKla~mJN>`upPy6Mm=Iet zM<)k}vCXd}%;Xg|CI=5SJN2)WurNs7%??5hl5};FR&z3T0+Oc2z*$jIY|OtE;QfCn z!11pI`2V*8+Day`Af`^#AT?(br(bPK!5nO;LC>DST&eZgf&AROd~BRN)LiTW2Gk%` zh$GC|!4%?1%^?7sQ>6w;L9Lx24#2N9aGB2`rZ6+$VqZXPEu1W=IoNr*eqF+!x2o;i zpd}9@=fk>T79`S^%#eYiprCHIJQ$Q1>bxU>BESPekwvcZzqWJ$MnJBw19keex73NCmeiNMEPY*Y|_II;kzF%TW#W)1r(dT zTmq}*=JTAo?qK*ZriOai!{81-6T#Cw+!4Vlh8OAJ-dXAh%{}mZwaeZ?1dgk>^L8UI zUx|0R?EH5lg7>e{9y@;^jeF`OQzP+EP+iL9p;T*5I(-IiFBAnh16}_tOPd2hc}#*( z?e@tRqc5C`ZPkC}eb1ib%K%nQKkbOw8!=R)+`G*QULG&0DmLBlh{b9qC}Xu;yM)E5 zLW_yuFqna2>S+Yt zylneOs-p3bg48%b`K#LWOj{5>Oh7fQ%h4e)6mPEcBAHbei1V(AITBAe{$Fz zX%P)Sn1mK}S;00sO~?*-xGJAqNr;)7!yh^J^A}0w4Zf+n@BV}}I^eOB7~Rx+5mq_X z&S=!*IP&quE;@2`0UbYHMGSSber;B{U1mBA9ci;Je1#h)w{~=J!3z4 z(C3B))*C^(?wVDMZwi_hZ`|U|-T1&Obc9=2f=*U8fGe*RQTm)x<1?!%Kj{#NZQkpG zV=H7;msn?6qeEM_^xMKmp4RcyPrc9=gaLA3Gv{r;0^?Y^=e7d|k>3+LrO37_vkXRD z1FS^K^(0hJEd1R%dpo{FbdEm;TWx`6t*7aGiqKwnZyFr`nC6jYnE3K~FHYQw%fx4J zR&YlFQ1RjszWH`XERM=<0J-~=44?l}Vf|=Ttl#lX;aLi@W!vi4FZ;OAMcQvI(rsgq zd)gX($cgQaFSQZMx`@H@{nYhoB8HxV6t=ACC6KlAU!b+pP^ zls?#wbe|T$Ja4w;W8wcqIg@(uSs1E+>0>6;mYBhkSl7b4ktNz1i1eN zCzGOG)YV+bI^kz?+s$(55>k^r?5KAFwy{gIpS-6NBYylI#g3WgskVx`8B>rtDvNgE zo6*204=~?(5M~5CsnBlsm4p2tuMqK?KRv$eY)Cl@@kqH89@tB~kJQemOx^pA0IBPd zT${1zZg1=)KSLwY*Ei^PUonv$@JT;;Jf&5#=HW_+aG;ENidbCoCpJ@JT2l^P>Th|RigAlmDJJHV8Xfg7 z9^*iC`e+OplsXKa6c0olsz}{YVf#j~f27>#SL*G@t@h@SOvjcX<>Or>KbkUm#CP>i ztSVUnv@OEQ$@h`oMjjF{dbQ(ui|R5WQR<|%n~2Nhy@&Sl80!k~e~D|ns>f=4-h#Pk zHzHs{IYP^<)`rV=@8xO&SXOpik73$Oh#5^tD7r#m`exvwsUOZXWo@x8xt<*-9+C{I zYzpqlyZc5Y@YMW>NKcg>$;Jv{ z?;*X=`ANJFIv%_1g@j*zr_5pm$ITNJ@dJA4`xkHb;n)Y@tZPXwkI*2^pSXkgy>FjUQBXIXB4LGM zeLxuGpj`-8iJ3h5kW_EEGZfeGGXsdWGVo3w5<@QjEn7JJ3p#_=)BdD`?lAhVckn)- zIeorY{)UqM9?MJY?YH=LsD?o7Qy=t)_X&~NWKiS8unxa+ej$DI7Vis*HJZj}!cm`l zgIEuIcyzyymFpv{{&rNFzZTE&A

rq_GxwO?CR@l>AiiHOeKXe=CQb6u(Czh>bADPcLXD zU?$M2IjQ4h+0Xu?tFR$9%+I9*ZCSt-qY>RG5F7yZrTQAaF#oU%#pWGPbHYREhj^Ii zEAORRWLuDoKe0=yQK#fi^61O|XfA%n(;c-b|X zGZ|2qSt;ApTXH$V4_Xn-UH)A}T@pX49?=Iewfo>HMX2(soT@3R*{T^T=c-HOG4q5y zO|?zqRUS~KQl9S``q8^`^~2SHc_nW}cjexXG>>sl~h`K=$pcpXCJR`VBOr2eQ zUwPq4fpU%}f0mt^v1&w?WhQY!Y;LtmiONCRk|}+CWNKs#o>Hzdw0Kx2)0p(xNV?l# zbvaKt0Qb}A6w-WYmqR1#^v8Sa4aXP|`W1n1D&Nk(jeTSMghqni`eCuN>*XiT2VA!7 zbGepz)w%l`ygA(YSUL5YNtv5@-?UgYZB!bHE)+v^S2LrFDkTdQb{YkxX4OU1MU=c^ zE(A^vbdGft%c{$QEGUwlrSFcjkD-rf=ap!sOPi{y$&W~@W$5I(X*%i$r>^8hWmPC_ zWsJx2DtIJUig_fQGVL(FfMk(z`RFunn<%bZt|K;OoKM$}H(zX!Zb)qsu2-(lP0((X zoJ5{fZa8l$tXplNZGc8#!%e1Q+%L&8NVnP7d0lNR&4_KP`@i(!yVtYZ2`rdB zp;0}rOt9m)dhhn#@15yQ(OK7P)3GjDuS8fvt>TPzU~Us~-QVW(zUH-Vx>%`M6**U& z@Q~$&R`tmxyiQni>|Nbo+m3hai8d!QtLv{EIrT|uNSZ8~vh6jT7aeNsxM4`>e_#wV zGqU?CSS?iG#J{^gKGd(WPy{7L?%x~}>QYsVdvk!7B zlTYHJpq-=HVAt}^zihPg)?-IMy+23l32u8rC-kFJS>IB|(s@0dJ^u^Dcy_UT|NVZ) zz83B-ZYHh^Z76MKM3Ty(%7F^DN^!bKdQTdfDT_&Tr+%15I6+%w=i+kO(rLQ_+rI7> zuV$Hg8&8$1P+zJ0M?{AD8I4a&hXPT~Q50Juo?DwZnV2qlD6(gVamD?JQUA!@e6p~+ zAi7d>Sb5Na=)dB;u`G3T&^cUwwDzfx$uyTOcO`fE4vu)#f?GUH*JgU_c;WbZV}xXg zgf;GcZ(FbFGkW9NFU<1H%;0<@Glr*l*ycj!uz}=8ROG-+gSvMAYD!VZ>>aRr^fW-@1Tfv#~TLGoj;SzYuREl|s8ppt8H z4N<<#(wgT5f?wjx1-(v`P@_>HsGy1G#T!pXS@bpd>&Go@)FSjFs@GQqvz;rpIJQu? zJoqN9bH4AF#A*!$WSmvTgEXw??0+LHP<{!CX|xzerzY>zTSNnI6u!%ZdZMT zekpT=a}PX9o=L%K>#IvtrC6R>iCx)Qc}8^v9-mqqwLg|VqB^6R5HR$5cK&=rcDTFc z<4AUNV!SY`pollaO0S*8v$feW4vS)E-`6L}_xW8G>(9>1W^HG)+4zn4y@w8#O60fY zZDMxVs!k_Q$*;Rlv(p=1HxzoEdhKYD7dagd?0^LpIPF&R*2az|u8IsAMSr^g4DBMT zvhA2BuWzqALA>tRS#xpNdogR^jN?&^Xl%JYL?Z=)B=DZsKn891+dix*547(4yZG0% zD#5$YSFU9iX*bgkRd$B_I>*Dq!`;FS+)5X^yVQn8au3ps2;hZo=2zSP^ZM|uxPUlf zH#Tq4>&p(JSD@3T#G_J2PP4=2{9Y}!;^6t527&$P>&i=mPd zD@@SQ{Q~Fg{n6#W6_GhP|0aw6W)LKdos6wv7Jy_72(ymVw;2F2Spni?YzCa6=H&W) zK*`z3&e=)U)(K+kZa~irUGU(U{PULL020)8=#k|U2Uvw9R*!QXpGHZCJ;d&eR~*0BP#4* zW-h1-EH{4V0RD;4SUNe`34%Z_E-q{?Tx>803lN8ZfB=Y{6U52M3glpQbhC9bc4f77 zr2V4;pmR(epmw+2PkmdVu?fuCNrZ;xk6O)4|52%(vxD`oD$Ptm5Nn9d?UM#^uyKI? z%=>?Q1%I?*_qQvzb!7W>pKPWu8xU{;Xn^Y<4FFy8kE{8ojIPFZKt}f4PBAkTGzDle zCz!+U4pM{I|4vv#MTJ4PcleLQuT!AE7XTU*l!v&vz#PmRf!zQ67s%-7{EO`RUEwoh zi~p+TU#D(s{zm~IZT44B|1Jlt^Zu;hwkHIwjcqMNXk1y%Am+x-)=o5{d}e%{{H6lD ztPpc{9#(D+9#d8UGfp#BZcct46H@^W6K+nEf4Qe$b>6o8Pptv}ouE$EkpE%396X#H z{JdO7?CeIshylW>I#Em7zJ@x$Y;PaBu{E_64CZ9#0JU}cuUh}3wc8H-T?52H6j;kb ztYLP*;Fff?bAUKHQp?(!vQaujrRHSkG-e>>>fYd)AL-a4m0a#)Y8W#Xi{yp6P4cz`BW&y7D7smgFyWg-6 zl7Kk_LNFHhi;5)~!EWa5Lj$4vfm73%CF^=Ce1jp}rf%Dh7|BdpvE%+y@{&&0v zAJ;!)skU#SW{)iy2i6tAjo70|yc48%NF@p6?v^Sq-%HpHaWxpnL*NE+hz@eWoDLa!k?q zmB7S$f*LPJreZ=JSF(KmmDSuOv6PqM^r59!^0X?+Q3h6B?_NP@QB%t?r3^A7lb!e@ zRVzZnbd2)?&iVn$_ImZR(&sgP8${m~EgbMUT*QQ8zrjUP;&(R-U6aq8*JW8x8$VFo z?Fsbke41)L(iH?VC8MxIt5B<@cuqW9);H;vC;ZlNaSm|5>-&LOH(p2^b*QVmZlN1P{e zx%XD({65Y?Gpdv=FWe}C@g(G^GYHhooFh9+B68m9;0F=v;|Jxx?=@dmv65Qm7%Ngy zv>i8&;#*BLk5yDCYYJDddvSpA!#bvWcJmI$`q5?v2Oca*%)cf)x3E&0eke=AZDCIF zz7I#;lNV3MFFB)ey%XtZlU9oA+{WoQZM(kPij-~)7B^FN%uS`RIyod*m4VM*i;1p% zMlXAbcm@fv1(B1FzQoBbG<^ypkq1D$`Uk3u5i+QbI7n zIfv5ERNzWV(#l6}kq-)e4{-=rNCy7>IK3T3e~uSrV+R0){}RN^pvM1DweSL?k57OT zkZB|wV0QoJ@ZU!HZD-eL?|F1dHzf-sW9bj;>^9cNt^aUu4^k%|nc^+Oz-5}RDeuCkVLfPtY zgx`FST0%ZRVZp=uJoOX4@M&h(etY+Yxe3}Q1%{2&+=L#D&fOJAMDQcLpGX|Hz_+;Y!mZrWtN?30!Y{KdscGLHLo^?MiGJ@DvP1d}N`^PM-=LqM+C1AE^(#sHmvo&}RG!=^!Egw{N zHz^SD8P(VKA;nN`RbeVF9WLOE(?q)u`T25LsWCgLo`KcO(ooUjJySF$;W)zSIVwTZ zC-u$c)Y%&T15Z1fwY$*gj`I``^Gbx5ZPqlb4nI9ryEoE)(LCAwHNQ4^;fE9-F*D7O zlzdew^P+pP*ZRJ7C4F}12aEofb$CpvUyi?1>voeGzYF8z%GY|vJfje9vs$NxpFQZj z4Ea(minrlKa?ccs*YB6$Yonuz6@=~*xx|<6XT9NH-@o>fl1=stdE01r3uoIE=G1aJ zKE4NW>+4BTrRgErNhGBM^laB{NIse=E(Te_bZdO+SAP0;4hAoxP-0D(CTw#*xyCLG z5c{f)o;wC_#6l*QnBd7DVx2>hcA(j!jiOwpH_u6IS1b;z4Xw(AjO0V3OQ=*~?EGqJ z@Pq#RR{pZ70sZ7!w>UK+mIsW<3WTxhacO0^s*W|23#HM#Rs%ZInx!Gh2J8Hxm>zlI z4_>7YWw_6X)5A46q#QL(m&-pex$q(~ahM#iK!%0vVbYd%;9`|1VNbB35vMB3+LEDD z=y=2vJ31Hba3|>XTSLRJqGNQ0pNf|ApYJi9KYzUBY!NzQ>9gu1QcRKeo;z3RAUond zvTGZ~Dbt)yo(O$CPDyL>kl(A0pse=N?SWF&!;sS&8j}Vp#ub}2Ni*EjW6C4}5yF+h zt%g49agY6Ndf7Wc$FZrUd|SGC@AQ$2PN@t+?VU$`&pt(eCwVa|>dcPu>P@zF3Y%ER zt4;|1{+vSRs{+M^==&JGtEQ?^3V?kq*XJ3Um5IH`I)!#l`v8kl{wSs?g3AylZCjg& z4-_NJ))Z9pDcU-(459)PM)4n(lru%KYM63Dbd=orkcH^pnJdkXJzjnsijrxmpS_!@ zIB(Qb^a(>ebT(r0TZUR#(i{IB;@&{f-r@dM6ybuD=hYPz=Z}5tC@A$A?r2%@g)pmr z{_4q|6b7+i97D&q!>D>Xvk^ZYRJx`7x{k8!2Hzx>MiXVnT_CUMH6+A=k^6Cy_M?`^ z3tiW(%qQvJ&^*rOeA;fXyjD= z1$cx^EZSVh+LscNB=sB=0%Y@>n`#FGc?FE$M6}r@w90p@R7FA@7*-f%-la{y94W!2 z*ARn@3I3od?o)`!htJ2oU0Z0)pJw9iKb)OdrS&GfXYJ*15NQ z@s#D|T2*UrYV=%Lp~dgSLI%xgvhOCQ`9P{t;VC>}`&8l(FELf4MYO zOt4)BvJhhuHk(!Gy`H7?{m;+OTGCm9nON@mXSR3bpqkp}Ltom1Ns7wIL~v#jx9~}x zdgu_4#$=5(4|Rn4hSdjfAJPfp?wxI2TI$o<-v}&(5uRO%r-m;ZYpS$qYWTRUeje;B z`QjS;Nr$umuc6*D>P3sBfx$vDcz6FGjz#1FzJ1!>^_A$;T}+Y>-+j#7>q_J+Ld!3_ zpE2AI;7css_<|#}VO2^LMkzS5Rb#P+(a1(3WgMII?lmoW*>n0Pf> z6V{857P8Ve1 zeNRi}$R`-q(0Mb>qa11V*(0xejNj{5WN1`$4P1EXq;Lq%RuVy(Oorje*LF7>tVKTg zYdPp491K>X(`p481*+y$jWdaAG!4&P4EIKS)Tg?I6?K1NPDN1Y>e}DMuEBq$XBEuA zhD%)Vp}8q0-<19sI;!HFegb}iu{QK?MXO)yl>fA7b!#O9kYs-?p#Cme{Wg2~XG0v$ zzuVRPJJAZH1Sp(;SNmI-`X{~ge@M#Wx?REj*%$wvl*J8@YyT=`CC%9W=)(3hk_0_0 z-29CH5bNbY2*@FV`psK?l$vkmcTu|7%;$g7uvFy9d^1puyLNf)GHzN|hxmxsT`Zre zdX`RBn@Y7##Wx_a&ahVJb~3y7bMt2Wdb(z3e*UKcd<-;eR7YiSoSITA(Cf9Gn6Uoy z@u@uJO6Lt1X~vN}xX`C(FF=B-v-BnNj9Z`7?sT?%*phpYeORwyuahY} zrh_Chk7{#%UP}; zu0kgEKE9TQYYyK;HAaHd=i$8DjWr2M91K5soBVchtoAeom8*;^tA=})JTE;GZ9((T z7SD_~EOuv1jqQzV&OPuFk0uiRx`t$zCyq5KGQUV0J34yzqAFR;ZkzBsE?)6uv$+a_ zXJhBw?H*HMcv0`z_I~2aI2a8bnMkbT==8SRD0B&XK6%7iQ@%F;x-^sXSrb{~yomG& ztTHBZM4$mOOB>;@d%Ad7b9Jcw{+agWdW?$4p2qurBS+Fyv9(Rk%qmJwcO`LxRA9{S zn%rDRuY}hS`{y#A98JmE-NdUA(I)kjDZv^X@iH*WgrB6yrKRtuP^1D^-IML;sz0o^ zH}PGaWke?~?71Mx$o%z;clmhTt^&s}lYxm$15DV;pmaAp?7>~DNu{ekO}6Q+&LsmO zwdyX?NA_`JX{vW%&8{;(2l0_^KRqyYM`@~GkUqCd6%P1JyBrxHfwpF1&Y}5DCxN5r z{%|aet0OA4kJuuonX7*&sHBx+*^|??gJf(qF0l4qOBbF`YKnBbK?)^kZWxC%S&Zzn zTttsp;XC6ux{}{$D>!qgD;(O9UJ-Orm%C5qsBWdF2hHJxH&#?34T| zvhk(%aT}6F@vACb+-t1&a((xnlz4^o?08PqK`*YEkL)~|s#Cw5@6EgfU9L^58+TqE zEb=N-%VZ6#+G;PK3b2Rr?efN@{~T)gxj`GgxBk&NDm>0;5B_YC6M{|P=pUwe* z_JUf&)_UiY-F=DAPJVVJ4pL3Z zhV3roYu*^kmMa*7N0wo3-glG=E(@e=mX9hISntQ zO$|?^(fmAg)#4v_GYoaC-nbJ`p*QgF4!RqEM-D5_v-pa5DvH#hrO|qX2rJjMd)=ch zEO*2dX0A?JL#udA`poqkB$4l+#cKnjI74#Rje?RTMV z(@?sy@CR8*UIlWoUgeQn0*}htTlj4VYaA?t8>eW>UL;8Nd*z4sauNhg1e6 z1i9Y}Eq?jH(o>SBJ_z=rM>CgCcTM?Te1^T+qkO}v%<;>}@SUxEZTs57!fde7))B*! zd2k!UjpcKa{`Rl~WmAjPA0eIsRP{;iy(t;9**&4ba~hh4nAC)1#> z_SsznP6YI;-C*$YJ!?-%5uXmN6PK62ua*36UnF9v`V&t-cXz3GwGP94(ut}hiZjSO zE%+)r5Z>6Q%I~Zs?;LCGoE>7bu{F~j;ybnXjAZ)6sWl$_oxK~<_Nw5kFYoI&UfH^b(h0Dd7QVQCI=!8_lv);okYl<`kqrtKMPK=Uz^MLPr5TQ0!rxn1Tt zhC@G7-TxPHZvj`;((exoh)8z}A}tLYHX8)#?(XjHF6nNN4pF)VMN&Yzkxr422I&&{ zugy`9_ndQ|`@AmnxHSZx0~8D z6u(zJQ{gm#I>j|0N$|Kf!K#2oi$QwSG`>b%i+w-K|DIcHq44*bcR9|Pz6kAZXM_haDP&^JRWMm!y~{i7?3%tp>5zM}&-A!-NF zf!l@e7V@o^BWmF$R#kb_l1QvpE7&Jy5K8d}FlRA4F)M-}mLa7+tPQa81)B411Za+m zR_#|I;49<=zCv{1EA*|p?D;nGb*{JcR=gZ#`M}0iSs@#J9CeZ5#xAfjOaFYRVr<&qF+R)VTekzG0{mv4&s{pwFcb zv-oXhQXs&}U=?P!=wZdz;94fWn`uQ@?3DJ{D1r&6am7--N&<-BR zlwFfS!l%l-HA*y+igEBM-awH?nL)@*cK~7ZQ=%8G5*wZbpoBVS3k1J3*iz*+Zu!b~ zm|R^I+&E7vG_sSvblCAyd;X1JgDwGPG*H!n$_WaAUNBtid5NVvsh(!a$d!RcSt@Jv zHUAj>nrobMJo$-6HKT0n7u^__y#*p1Ua)(A9lb(2wuM0 z4Hue5D5VOfC?>~5tONIu4cQ4-y(jN628|lWVSn6u1lQ#gsM1p9+2cLB`U3GDISIVF zgCvD0cg4#vCKR|_Ne}HZU4LMi84r^>v(X(@J3{lcogcoNK1V`% z)|JxT9A%e#NHFzC^B^F`n9Tm%?+~7O6{qgQ(*fd12#Z~qJUd+I1RY%HTTht>P|iHd z;22{SiMMKA)1zT|5})?cuo{U(^&uhmtT#Jr`^VvgR?G0cyeRvTZm<=MUMf!?kb&xn1AiRdJ9OZ73{Gd~&?Mr_fe3g#Uk z>78qfJa0e0Q=+`aD_^+;S9JTv)6lCtO=MuUaJ_Sn+uyC^;&%gWFY&w=1>`XIR^i}@ zW#$mkdBJx;%kh3-9B;DThobBWrT%L@mL;-BHBp|1W~MmF}!hu(ERRucyf1G8S4t&6i`>N4YC1U=k@) zgCvSxzD&eBfQRf;tFiiO3J>*41K=&SZ!UXK6sy%uFaX~2$mXeLu7U0-f#70;KnH#> zvU)zkJ^CcQ6_Px&8t|$^A89h93H=x(6`BC;po3)J3?LZ@71Qv2 z3_J>!kT>?jv!fk9(FC%BJDQ|BwuxQJx#J{fi?UBlUscXIF^ttP8X;4r;;=rV6`6Xb zU!%H_+e=iK_x5zNIGoxlQ=Ix!D$<@-si1!^Cv^bzC+XcMrvjp%9mvS!a$xTQjq8S9 znoD4L;P1D3nxJ|hVF2uf4B&YD&!Q_!jO1Wot-V#Kn(hR&oiRmCX7KPNtG3-0;ECsD zb`w8BwP+TNkv55N6qB7B)x)$2pty)&a-DCX1d;Xl7`DA*^Ad@HH)j;g3SY>$V z@TUS@%dZ9#gWp~_>m7y8=nKSA2WEbo%{A~a%@7#UEe%B>KSNa&=-7HxBc2#a?U*{k z!1nGb19=0B=`%XqU>HCU!zowN-dArPKv8ejmdo{o2T2bbU;=`f92hs2P{Di;7&oj< z5tSJmTTxn>2SnjoI{QMYlu=Dv>weZGZhHLn3PIDK@>~~Qfrm%$QOapdTA(Q#qzjeB zXxUM*Aa4&|u-iCtsTis%o3G_=V5tFYu>xR=@XPw6_PqI{h7t}nvGD4acvc;Tr_~rz zFJq}&2`(j;=RWi-n^StidG|9^DaN14H^VaKdWxvDZkf~q(k>l#f__ABGx$+Iz!){E z4scHZN#~CT2oy_qTs?f9hiVO;))`M7HZ`m&F#1Con~3q<(p6Z{X&?34HoT-Dl)H*B z8=CoIe~Md}(dtaK_H;eTBZllPwvJm@niY=0N#J9_D+feO(?EFgbQH%f%fJw>Sa4vb zqbfS#qdnp>te^=Y>M&|_ncb&ZW>tqZ`FyCDBF+ZUq$SEYNPt|~_#u=2VSA|*%%ffn0B+Ybph*Uf2oNzI=usSy}Np{pRhf^muYhRYCk5}j8BCAKRLiR%jsGcVy=P?4b_x0R3}N6dp3OhI(-_%%!?*J|B59g(!qrMr7Pb&X`bJizdQau! zq0Q~AQW3^0ji!%M;+_}Vb!+Qu?<4zezMpg-sJv&s(QRQ=CH|bEA5aykNP5Ge+TodE zx90Y)7cj6TN-k09gI&Ok@4uN{eAaWkIOLm;u_ZVT=ohZ#W)kH#$bTD&Mo#-prk-&@ z7R%w&^Yyy5&?&!`UJ=69mTNS{pf^%+y5g~RP1goZkh3myZzn8oqs} z_qAw!rOWq5ukuahdD~5+`uCichhHxgTS_OlH%aF>ob7JL4uM&)lX3&3AQ6o;O=XOa zq(^YT`EbM!lkZbDCKC(cV8XY^3xzr6Q!0t$sFXN=4uW`+7Jt+w*jzE(L4rMh~Q6+-5ZwZ?KruGs! z`EuV$J;ChVPzG|UIN@N_-oYf^F%|m8 z&i8DCLQ&euW?{ho41Nn4-(Fr2q1;m+J5rAMDPM+E8D=!%yakn^J)HYJg{Zgcuwj8B zeOV&)6l?nFrz6Q46}kah$++5ya+YM`Pb-Bb?)0fKu~Y^Npp6G^rmNN>NSEj+M$Xj+ zGTw22QLX|S3Mad0hYu=~?}OM6R%py>K=ca{4n%Ep?~HP-+LOq;wuB>7iWoDG5c`rl4O{StwFClzt1%mEtTBny!8*B{3|g2eHq`Uya1}Voa)MKr zL3EU9!+nbLpbn{9-x>z%B2=WA{fXu_N(*NN7l(?*N!KPe>3gcXjRpp^uc@=Rb~A}d^AR?$gt z1ZRrc`*WKYI8xBoEfnjER9W2VlThSpDW~~5u%S^a*!Cit2y*O&nlkp4=(+B@ZBBe) zK~h%xp=?26dmExHa??B;ePPc-B3ilJA2S%Nv=ho zI@`JI%zaOn<}Mw9n3r=p<8+?3qv9}Rz9Cv$B!UAm{9_T>#SRL7*pa;vHoSq{!+=0y zvp!VN!~^3i*={4q{5EyvZQ z_wb9NDEN}>q&l34bBe0T*pFQQlH6#}eJ<(mlDOqBYNc3}Mf?+m+mAw6oweefRa& z87C(}L3C{5UH%Tb7mOpA{JfgcN9@|O+pjQbnY>ba5d9wo3Q(~z+gCIFpx%5T*_5@VKw8WG_mDN@iRKharK{T@A45^C`e)aZE<6 z0UGXHM$G(HRkJYLS!oEOwSpCdWKSxE(b?$Gnx%ECzKMMO<;>l;I^XWNzJKJ*7S=|z zbdF#J+33wgogji|D%qIPm{2VHg7o_F*>nQVj%6_|g30IM5XJT&{%B2&w{33?Ucb*} zdt9AN_j+z2F~i?SXYB=iIOdFDFp{)f!ctSnAjrm4l_bvB;)El=`@kc&b4 z>Y=EO;lzf_S=+_wxXu;*^j;rz9x%1>=Q@gw*oOmL#`#6Aowe0)d{2p)^u=Djj^A#I zQ*LxmKxW9rUmNH#aY>lTJM-;$CF72!PH*f%d)IS*<(V%Q!t=0`mzW#2{AN6|(cXw+ zc=uo#D8d8;*3D_E;EJnlcY7WD4Vc32UTcO(3%_TNBFizjq$WqK1^YH^Y7JD3yd!-# zl=+eMZT0i}_e0YY zbQr67$tdxg`FD?xu~N|<*gmCjjX8eVcR#R`Q#vk^O!M6EAR4j?fLKq+_D^GGgd>u1 znVWMQJmUPaQG@TSUZsk{!$NG?#e>TX|}xe|n=p zo-t_PaM?-NJqq0-?LEcv5c>wDeBO2Xs4@DH4O3m%1udR@m(fEk4<>$w%#GCf)=h6R zFK|h#wv(twb-kgPI6ut7N=*1Dd`i#qXrxirMGoqH3H@ANEM+fPlY%;#R;J>NIP(?c zElhHEkk54Tu!WHrKcTuDSbgZyCU_F%i#IO@Z`gXn!cB?4wgj#;%Akw6ytQ3jFimk<#vmOu0m8 z?k3jrfbiI7Jr>}$eS2RQmrTQYpglR(R~hE;5^kL**(}MSlinhtm5XXsN9an%>3y

O&-Z&v{X>lC2opg{Lnu+6;pSAO z+ry~aG}+mxyZ%hfsVFw+;-E~eJy8dL{g^xP!7!_!BPq`={G&+)p+@jy;@mbC-OpAa z)HSP}(MGJYMqMG)3TH=H<-(GBm)^+=;m{d_to@}jFcp_>47Zl}$30fjAl|PBt(TM- zo&xe+!*1jCDw|cU=Nd7y5|O(|e&sJ+d-@K?dU@3j_UM^f=uN6jFXN)EPyM%xHs8Gv z623F{va94B3uwm)H7ED(^&KMlcAf{Xt>a{3oA`}Zz7HjzCSYt+LHs|jF%BNHzkeXY zMX4>$G}`g#eXxr75X{yYYYgvEywj!3@b|&sU_a|}1N&>%N6#K=@2(3LMVo!9-5T)P zZVrAh@Of+pVygL;6hT`*7vD$z2+d^TZPGYn{_cZ)4D1+m2WckX&8s>{0Z(UAtWzGW z7L~pfdfvO$oxH+IEn4H{rE#MKenzZ-_It1z+7#h}h|Oj+ewSV!XAB-DpbcuGUSg5F z{Vq>jByK6r5`oFVjL2P4+V^Hm%&gxngY)GoA7|}^LGPdzTmeZQIrWZ08iE{xKT1|# zXRagi;O2QRB8*1!gRBv>>k&jQ&zO$muR92}G6!m|i^OjqKAhG5N(86rCi|89v8Br7 znZ~1xVd6;606Dk2pZNJG-J^LAaY(*a+a;a`6WjK>ot2g^l|Qh|#+hA~GsEH>KEc~r z`Dp3KZ+3|>qDvv{sd5K)ny<=6p2 z2=x`mZR+@f{P6@WM+K{8xLJJ`m@WP*BgJ^Yo0&-8q_1nM`Tk6qcA z1w9a(o$S7Clzn%%Q!lxfAnQ-xd{TLU^%A1m*?IEYlLWKu_cA!@fK@c^u8EnGK1GLD z8HFF`B>E1phKkD;=!8p3Y`#9I7@Q?U^51VeIop`kh zZn`AD0Y{r2<76u-hC=PlmH~&zL+QPD6A8WaQz7cA_1rs=KtVz(w|UFn)nqH7owjHW zIw|8X=W&?We9roNCf({4_FjH?oE+}RTa8{YR*LR+-k+#XoO2rLny8wnUJULB36o2N zyWhA9SH+Syvjm;ZUc}Z24KJMT6uF`u_&t>`ZTV-j>i2on|1GoX$Ht3)oKptNk-O7{C~^Z#J!9tb)){nNVpS0)x42tb5@ntH!sJ!s9@QgEVNCttv- zV7b6Yz)H9tP3Q4H^X0b#7Wz(qzY#(=v0#vLOpoE3Yu`3D9DYOI*`%&n0o)3bANouywKw*ydHU<7~sAC@$)EMZ5mGF^2h+-3yzm zFDF-=&4jQ!b9NXaTy-KO$y4WDbIfyfnsaL93&^^Jm3M9K?+aMnIC{8MPHksbihbMr z>e-;ZZuO1xCG) z6O$$q10G-(e`cv9I5;woAp{ev4MJc~ogQ=_mjRp+2OH$_?txg->;9%h2_za3?~k&j zT4?;B)rPzZkU4(v-6}}eyCca_a-pIpy^`J7($k^{IWMnkYpfMjktpGldzb{~YnEIS zTxH45@#wIJz z`;q7;=d4BVXU1#e=W}`GQJgPV48jYS8AvP` z?3ykpGP-0|Y$Xj(53h+=3&f;)`=HwKl|=utPU-rDqSRLPE3VO<^Xv+-^LDT?9CEU7 z4UHaS+Pu>1^q6EJ%|SJ5q3H0;@*s)0t^H0mkdP{-;~8ep&3=&c=5rqF-~hfPaxdXf zXVl`x$HQbx7LPuVX*f+wg;D!CzvNwv6YwFke<;+;e=r*ZnF@RyWb{=6_A32Z5#8!5 z7?L|i4-WRe1_lze=$|77sqJ5At~|a+v|sQBp)DEffHKHfTS=nUy6L+)jUx$S5vs2s>Zd!Ar8& zWVE?KcWVd*(oxwRyRIa&z9@*_iS&$@@zC9CBXi^PKyDnr zkHQ>DN&{))wjwf2-u_Ge2n$$mOJNzLFkZRzSZO`u=?v{N#BqVI&uX7M+9f@+BUwNX z5I)Ah#)_KINOE?#%N-{8si@zoe4Gb0G_MP(I*S4RYlpJC1Cf%NYSBV=HC5GpMFjX> z+8xuFtGWPniAE7lgy5vdc@P>Gzrc`JXh-!MkpUd*_)bMBWZ}=rVu16`v0@%k7B-2+ zyLZH)b=>>jkJT3fH=_0g^`47VPC@7VQRrn$5g93JS5NwJlp1Uxj_4|FP}e7}< z*|MfC1NdoE0vIUg#$|JxEkQM3az9v~?tfuScz{SHS&2Vnt<%fhM@nD}j#7PY1!Gp2 zneeFb9_ew0Glcbt(35_p2M$PuG934KbXYnC>Ch@8#5+ah4{+Mt$6cNK5?#x0E@$_1 zaA=EE+nxLG(rpoYEOxx$;|>s?3w?w3%AYz@Qd>&-Vm`R;>UuKpJf6!WReG%+z1ol| zJyNcyJz9U?tt`eQxO47Trh_^> z%}A;zMV*AFvotxVWmqZP)GMnqnUfY)a~|d5VJ6N+=|gWdiEO_F9TMV05Kq})pGt|I z5FN1)5sXcpaojVKmw4$4zF(!Z^#$S5Vyf>z&ES)M61!j++?sLdJv-UOV>}ft#(ZUM zLkW_s7Q#Z({3Qnm!RAgwQ(_%feD@ukqjK7iu4g-+Uup$7Jdlq1&WY+lfF5*L=)H$< z6Q2tMlfS?ywb+yJ5hT#wUGY^VeUX|;siCis2Qgx?17im>G?A7xxy-A3vZ9N9)?flf)7N}qM=!*1Nkh6HfD8Pu zjaSw$upIS?sfTGi{4AH!KM(jHI-IAnihjVcJ2j~rBPl&UVBv8p?^sLJI?k9h=3~aG zL|dXnwm&b?BV1bO*mn?@#xxAG512;~Z(L`P{YW%dzSE8*=eU>hOopqtXn%+eyg$ZC zENlOaYHU4wn{3FFV9x;}&1sPPQQF=|uinWyg@$Qp^Z_ZO zEDSk?d#hs{mYcE>g|v17=`!|=^>i!phgIfVX2_FYlWT=f6*SLRbl*D7ed*J?49C0J z2!5R16TPGm_1rW09V0sOY4ovS^)$^0oOklWWkL?`GnkLv27%qHSYadg8+Y#BuZp`f z6j>dNSut#SC1xiVbwg0qm%V?W((W&Gj2P3MilAhP*xi3YY8a%=9rAy;yW$TQW0>v-dnTa}-=YcQ5>|A|L>SRkZX5Sd{D zlw`Kxz}!B0;3VpKzlPSt2&aKAh=t^71sdNnq{Qg5>XO+s8CC6{Rn7l{ogttfC^!GC zYW}&_@HbWScLQzWRf%nDEI%JSJ73l1 z-S??6G$^9_sZo={0)3zRse5t{T+IK*DG`mU+hCQ->@VPI&)QnJHGoF_leBj7=%lta#xetd#Q6#(k94(9>jY z+97xlqH#3Gq-&dCp^~)PbH>JTSdIn{aznN?)fa87Pjz_Qa>um5vOZ+Jp+H(w0y1w* zuJ04~IRC4(YnPJnSC!fG8HW{J?JUdA+480a`|8FJ*RN+vpzJgnGv*<~`~b7XHT+s* zt|-;-wjZ>$&{XTSvnw|e);|!aCZF`KcrfVETbX+-hmO7}d)8LETvxMDJ-HtE9p?CuCie z5~Nu}(Y#^RstrNHRR^`!GU3+cDqQ5Ko|@UJyvcecyGkKVFWQid?76ifbUUc8R=V}#b~f1`ah12LalN!L6|fXFHa zR9P6LvK-%OuyXuv@SMfED-QIsisR8RmT}t-d%eKO5zzs0u$VlUC`Fu6g9Ed&-R&)B z0o8pei9FgUGNVWzIIwB~U3_8}1N>6-szSW0;obnlBeFqKUP0y#dI$% z$%@w7DYSIIvQwdkSW8+GHL=z}oUrOxFJYWxkA=tN?b@yxM{`?`HN%(5Z1h`Z5j{~w zZ7DpR?LyUO_aNkz`x==D*e_v@K6v_K4%H~%%L*9ZS_?>RRpSb2&XnY`4%bU$81iaN z<3(%Z(3#U}#z^Ln*^-y}r4+SDNbsWcbg! zHe>QwzJr>HsY*mfq1H;hF0SP|^H$VqXv*T5PkGU0kl}K^+~gtN_X~r&(iwgqgP)`H zj)`UsH)g|5aL#rM}wpQtw9S4B0%MTK}g zEcG3$Uu-OHbWz~mJz1K3?eTo7$47(M1LRy_kMjnHYuBxPVO)os@$q^NQ?_(8dS{>J zGz3|CuKL3fQrGfksqaA@I_JdQI3r#p(L?ZvvO4AKj?@k#T7g2ELXyR0(Zh1;sXNap zqLZt-4_=Vz&bKJsX?pnNh~50z;$%AI_YYar-ho3e!DQ-4bkoc3*KA3#SD}!K2c0_iL^#(wr)|(Q-o&u6P0#&J5_UhboUNycXf5CA?#n*zFYcai*S0Y<%`Fw zJ{~X4phUggd;;O~YiFm-0NyOFhPAXCI5Uz~02=fk&_aI~mj7E$s(-bo6a;-Buq#5q z*47RR5dv)O2Eu>c8h#u5(_JJFY&idIC&g{a-+)G?Eo`lzh@t>Iffg$(CleR|=mP`0 z{sDIw2MEH%0S3TH05lFJ2qy=0OROjvI{-@xKsx{k1h>GXEI_0H8R!QNsW<=xa_fKk zO|SWV+vy+bkbu!GQU(;sL`6~JkN6{wAq{pnxZ{a@|Wg>EPLb05lIP!9jl@cvhwfb73}ME{i&5P)*|>&}u+ z4UHH8@U=ODNk8pX5$b)Ecinr#cVURW!X!*T^Y0+|bn_vWu9));&3D2Hc1yx!;dmU8 zr}29;@f33BE18eqbu2sLo?SmY>%CrocirN5;ZZrfCwI1^93aOOx--E_Gaq=#R>X4# z#&A&dF6l4|N|-8|xIU@$xKPo-|CMMZoe>Dn)P-%G2-q9}heNK;m z%)2qOswEX2Ix^YLtnaSxF@yPWD3~67j52EjeNOvSKc*Jv`LZ{Xa_<`c6U9o&#+ftW z+-xo!$tM9R+^GW^XUm6XDT8wt=InFl;JuaN{s&kBJ@*w12jjTZ#tIfBjwMsd7nG}- zb+%seYNb2)t`dd5;qyKF@gDHdwuPE2&w_(q^U%HY_!R5+}3NhbmsXVAB!8^3ey zju0<$Syg5(*`Z%S4eR3bx2}m{_=y%b?{l~kw_aeY`_aix9HoI4@oJq8sO=BZtmg1z zQ!84ijncK5mTN+`ak=Nrwop!!&EL+Z*#(&U+4<<^%t$}&;r)PvCp~XIFJql609o3;cWfXNe_-INJolh9y=iuVjqWtVQx`dF-EW)ApHrs!je|;g z0mKof=rh@sofv%kI8?-)N1g(-8K#pT!agtbzJK`r=!6R^hSb3~)aH@(T^bz%T~<5w zNe>~!6Mun2$tU$wVBt^?c@d7mW9+thK=ThXCG3gQRwZny(3soJQ)fwe&Ts@8%jjGj1MF*Aj2Z za(|N6{C%~0y3MyX@86pyaXxzWD0&g>e(^~4Tjmh}#--n-g!9%1di@4=|yqKqZ`qnFUUu#Cb7J3N1gWoWT|U&bsom+9a3=8B!A_oGIN32jv_At8s{YIx=B#EPWVy zqUC+7)btk&fnMjPZ?c_EtdyH7B*~t$*>F7QFV(CfnwuDsSIuSJG3R)6mtA1ar;Qpb zV<&?j=1dQt25Yq*JM<*t8@eDlx%58@so(j=|B{em|5pptZW+~I7pUEq_$ibA$-ITK z(?3Mt|J_oxTU3^xjrbqT$FTiQQe`M8&`+$bTO2I_R||@rb$bs1u26g}0B4H>z~TZf zw?F7TkPVPaEG%H)9t>;;muZ*cRnjcyx@PpO`z<&Kv7O3O4K0wwn3%EF&1DHRz5Me+N03N?ZApZdw1_H`J zn*_byb_M7Lv}r#f!l3YAw~vQ*4caB3DYuYe&@xacu^(Nzje|ZG8V~I%aQ&4JN+KwE zpn0KbKkJ8f1)BD=3>(nCpMX-o8vFys=qKITfd)Y_&VagZAHV@r2BjI4PPcRgXal7Y zlpeQq0rCR>Zu3H~x1IcX1cuFkH?tXRhu6;Is zGu+ubHLN+_N(j;u4ecJqlPFess+n2bTA4~Nx=UHhrJ6?i6{?CRN((sV zI4v+|nkfSx9~3WbC)o)OJ4S$t6=h7S3oJQm4c?%_wFx6qt1G?f!g;OPvtzGY4C0P# zQ6HTt843Yo*-(VUtBBsaObAfsPkX?tB+gEbN4teBwP0Kl3CV-SXmO+oGeOED`)CtC z^tfZDxCRB>Q<0{$Wva^$Y9RP%OVVjXOue)n02K%XjivO9nTmdKHbx9y4ZdR`1z7=hUqi3%vKER@B+0XmA ztnj5cPen9#Y<|)+RO5T|kK&pA_sRV~45ZtQ>3>2a3P}hu0NfHJ^+VfWu=2Lw;TV%)!b6 z^qLDu`J?;4a{OvCK%rl`e>EHOlM=rw{!#o_*1y*GD*+HfKc4ubO}|pO*g;GXc23S; z&(i_!0P_Bz6%Ybm&Ck$pBm`Rc_t;+co*J?7_EKz3Wh z4+8v|4XE}fb)Xr3{B+2;IDn6hgNun1FzJC02=HiV{iF#HgaF^!&({3709a^*)Z`N^Kss^w#~^Z-H$5e&TN#`~Ob84gB-k)tCgU2A5y?q%}~ z1qQhakQsrTI{fb4u> zLKjpZBj+qA)!&eUtEg4uhr^O%0weHv5IigYZq`xOH2$U0Sr=0d>2V?~?ik+zOXU56 zS)nYJMslzTm`atkk-~NGE5E%M%Mg#Wk!eo24rWqysHCHb$c}&F)LoEiuTxjHQb}3; z>sNgd^ee&HYki0wL(?^s!x6lNnAKh($GU_2G8=i;zU|L*zaS{Gfjfmn%0Gl)QQEX? zIk2&oDLZWz~TQ);{XQYj}m|3M?c5#pSXXVs^}TJ>!;f`5hS(%jyDsIX#SD)8%4$}>du^#uca+XeYYQCuFlwS#}U~4z-xxr|t({=`Q3O*3Q>&(Z|I*z~>A8C!xAbDi9&XANv zODxV6&sMe65#RyijS~iPbQHzw+`-m3cUhjwRa5osB$4S%SlgwfU8s^jtzny7OZ@Pd zzi(L4oWuG`u#DIHgx@cg*~c{EFlEZF>Ls`Tmz` zrm}&#osGfYI28xf8v;nd|H!F0ek`{A3#S6H0=UG$_yf2KC!p`JL0AF#4yXix`NzZw z0<=iLHe>=~LBLsOf5brLKQLI?IhY`Tnh7wZ|MEtM3~==PDglH59ph&)9pC{#h>ME} z%)-SEgaB>_n6peA5MVh2m=gdz2CPvs4lsa$3mDjSR#JVFE!9IQlb*1DNb#1(Mjvx{2&&T@%{?^ zo2uOHkx~Cm&inJ5{jYiMEff7GW$t$5{7sny1mmCL;9v1vHlWM@aY?pLe48zx%!TZW zHwncoAi~z_Pz8;{BtxuAMLBkn!VSbJgkjK{u2E!5Ex0HzeXr^a=cFRbo8=m90lZPu=No?%u`p$=B%?@~e-+J`T3LHx+I){*>jZtf@g`%>zq! z!OaD6mMqH|rhdMo%I%Wmnn}Kq!1UC8Zi#kEcB{@Q$@eO}EU}6=J#`{*$l^=K#H`{3 zE>x|`CGg*##nKoYz{@Rj=dRwMkS5gFcDR{<5n@L^Up*4*)?9jR5QlY0Awm&39OM;g z)=pu-KiVUDohjKoy&9L`6Y167YAEUc`rWDj;=rEE*bNraK`wJucDT{wI zn4xwrM3YeE<;$#KJ%Kz*J;9XGu^rJF>)vy2|0GJ){rm_FK zYRl~zYyYFs^NU{p#vuCXUHGd-#Br<6{!MZD-6B#1j&=a{EqDN4LsnoWR}{t?4Q5I-?jfg4%%b|J^{cr3W&#lbr>fIa7+K?al76g`pOe0H}!TIR9{!{e1d!5OU;?Wogcvsz0?!jG2B z+db&XsW+aPr+PBkX-cLWcIquE_o49NsNU41mD4!QeUAaZ^!;Gf5F$aPaXooXa#oG; zNcd$o2h_g)*wjZ%N&a4)4At`5d$0P32|P2iU$|`uUHXw&6pRQrZN!XplZQ|Ce7xG+ zKYr>X)E&fxC7j;F_2Rjb&)ZQ7*Ntc9D%xzT`7(VA#|ig8Z-Pq-ERVnBzSh(!JUlw7 z4Y{}S$?vOzQPSWt?3!bPn6+9-GWQzqn*Y#na7LH@PJf3CO$zSgc4j2u{36_yPU}(1 z7UhV}v|~aancdWI%Fl(o$4oxLp+V&H?KDGpoV4q--NNDwEa}I0cT2G?8^xnKrkU{i zJH1(RVjR_nx(3g6g-4S_zsI;9ZE7@?3Xp4Nm9v)`jnj`;I#ef6r+O>{<6adfB|P z^_g23eeB*6%t6pwDZj+0g;yIk4MDp1Gr1fFHsXasl~t2clAoh6F%c=Nv)Jc*3d^%M;Sy|t~Sos*_kTnQTr=mIVy_3O48vKDkMHZ?Rf zlm_1gPpls>ukZ)nkEG2;)IC65Tnq>0X>JzQVL$f)dK& z?h{Q2q*!wv<>~9I#(_=ucHY-nC|U6gRt(45_E@C4z?jIH%RIDcN*Y zpcczWuNX#IbkfyXFVy(PYtolwyJ4>+gGMK8!hsxOM7w}=@3^kZSdL%l8rIgSjbYDh zFkqk{+Q~$Zv-fFF8OPCqp{Yx9X>oDEqrw6MRXEPT^wL28@Pv_$Vjhw-j?@NBr5(yA zaM|XE64zmmzdcuQK0a@-+*2Pa!`z&-+7~abQ;L&c;Tc#ZjS_@!8{kS4Aks?-EL;1= zQJ0ziB}wW_ZvgEspXdC6q5v=FQ<|DhEu@Ic%nzZm(rG4z1oa1>un?@AEVnb#EuGk` zGPW{I-=s&^II*obrMt$EF4Vp%AT5t66)IMB8tyvQ-z|9Dc7A!0hvhWkTf1syNF zK?F9*x-cRGd{;!mhcTLmNj0lO~hwF82P(hOtQ(cgnIsR=$bNNvWH1S=^oh zpw9!<>K}<&SE6h1pILnMo216$(~vo6n|-OVsUd^N6`qC*pJ0_Ve?H}?i-)0&VRazD zs*ENjo)+?46ndR|I)2R8LgohG;-GXsz#ST+7Oghfm;Bb6o zf)44RD5BEr2s_TA<padODsA>|`n~b>cS93Fsw_SmV!J|oKz zm9Ev~U?M5t>(J&*x75CoTvDg^YQBJgJUI3~QZ&cSi{?B(iQ*(73b=(aH#O?)tao$@ zGRm)AQwTV^OhPQ(onDU=H~XtQN6>OIP34px4@7*qXNc9GhkS@GCr8`GsUpJ{bz|OB z_zs1F=1HYnwjvv(x~#VL9W50Hl|t-re=itoSt%k?@{QUM0qb&Bqwj_6U>GPQOXX^B zckWXOAuEIPhuTPdUHi87V0_vfUgFEEG7YCM18Ix^YsXpmGL*(qXW+UKNE5t&eI|q; zB=+#xC(-5Zqml$_?37-qj>xHy!IkR07+3#!$tJ;6+Vfo}yqW@H$(Z)e6! zPd|3atDN%?ipAAOgI@@F+EnN#kak?dFDWZ+a#=K8GuB;C-6gvRW?UiPjc~54ocLDO ziLHk>Wx02MwX-Wl!O+^%+fdX~;4*kKrLYkT`{n5?T6p)V?+fiZ`+Cc2-*{Gv6%I_o zrAE%A-JbI)Y%cOXVVcV+?{SOV`fBU(1)H7@%?Kq-e&Tb)rpg0C<O-F*3alVLJC3vo{t*ugKV(hZp475WK zi7Qrd&@9T=qj}E;pO9XhP3x|F%1~_p^EKL;7<|55ZjHn2zdR-!8U9rIw9#6_;ytqB zxAprz9~yi(jJm9KG}!9wTQREl6S_-EO6a}9x7v&n*cxL?NPShk1E`}mI$mKW!_h5c zzZ~;E=w!{Kvzl)G4$qhK_B4&Odavo*qFNuvlUD1ome%VbDOP8VTy}2if`Qh~MSBrq zCbNF3w{=rUNwpp`FQ~Os=MucQ*sZyNXUZ-J_t4ZelD@SYB*x85# zsS^6Bv)#0;9Hl0cujhKo#wGi;dY_yGx7u~yB$|fpt2RLn%c}Djw8lHmBqU^qd$v7J z2?NCnO=qyf9)t~zt$-4IPHQdv z5J~R8(K+g_p_a*lIHmV^d5ljUAJ-$1 zBU?JOt3e;*L+a^sT+~bc-EBCsT?)Taf=$pbve(k5D3|B`eq8elVo#ZL9>@R3+*`&} z61DA`Xyecgjk~+MJ9I-g+PJ$rY}~c+#@*fBVdL)Z4jb9H`|y6>oXN?|$z(E_ACp=? zDzz%Ll1e43>REMNw^R(#NEgpVcg7(9i}C%@h!fS3((rO|x9}HEm^u~oT_(vp+Hjfh zHZ3*GX1WspAf+KC#T~)hA4nIf0>U#{G~+S+lV`5oL8bMxx?$ypyyuveuDUE?LHbPDmV&>Q7lE(9aW{9ySNf`&{{ zS}Kc%MpcU!uej!((p#yFWtrlogydui0j2bnhmos1ThLBs9H~H8mqr${RuW1K{yA|~ zg0YD`Xcv8q4NQpn){C^K1{d(K^fX}*xFDCHXL28%uEy4K`xw3UGu)-4qpqiLwW{s- zE*DL&va{1uDh8=IYL%tLP2#o%|1i_Z=zv#wijeajiO1_RfAllgZn65= zZUsfC*;euM%kd0dm_qL$aOiDM2>(5-G>C-#PwxZL5MEC_|Ffvai zjg)W>v&w0o%`@FK^)$^H#u0{azRR<~O;~$?N8Y*J?eU?(8BU@h!9(uUl)rAwJ@I0D zs&oS`nW|@SxV?{Vb>f`>F4e1#QuI|OE+$paPKiN$+ z$m>L=-*fh>3)JY7MN|`Ebv5;X1zZjEd$0A9Oo@V3zGG)Pi>RH*%hH$wJBuxm(@@H^ z@A(|9zmVexAGCKFTu@#2E7E1vT(iABP)>xqP484(&41GpSP$FX*=^rRJlGmW#r`P+ zVmq{m;|e6&bp<_-zXrS@M0@$UL%Ai zPeUweMh3n#_z$vNthkIzb`j;spYXF#KPZsbAlV2UjmKL%aj3Mv|E+aqU(;?hP4}37 zh3Vi|#UjG7w z3uQ}r@MGo5?D4iIc^dz61AADEpboo^CJ@Ejj^02xkM@jXE^y>%gH1^nqmZ|+c8PpL zbpv-3!WxF&XvZpas6iyo_zX?7cL(L=&-)6$j398tYR-O1zbzF>9kUd%FL^sxs&$QZ z(s5^CE+;Lk>)qFh*D0bmwtHN0@jcT~@ zReIZYUd2#wX_g^%z)I06Fs}m8_>}74SH)8GDFbumjk@u3OiMVXSHKb&7Z|u0{YUjnk_z~o$Oim6r3gY7TNOyx+PLkrYr+(TolwA zD=_Zl&v&re<3)18<;^_dEbQNQPyXQuR*WID@gLVapApUO_dICSpKe|CY|ynC%0MPT zyXmA4?$G>Za%A!Yy5TKH{0Dc9>xtyO&+v5?sAOF;dz`$AWBgNw+lhD_YEfo|dXIIu z0jmyti3PxYB>`G-9lcOvpR5CHv3rtt@^D|CnA3NyKGl_Yv#Uyu@~G| z>{F5SzFE?TQd;drKpx{Nz3Bj&z=z_kbKMCKC%1*?gi5ie?O4Gbm(E#H(<$q@Yi4VY zKnL=+%-EtmLNxcXIvPX6TpWYtyKX1)y_SG-QjMT`k)uhBfW}cJPqE97TNAv z0?P^3*|CF1Rh4E(p=zKfyQ$=Cy};y@#zq)WbWkVD`FX%LuLbdszbxG2%; zK{&}MzuSqeK)QIqWh8VDELNC$>z*hf20;ta`?*eMxo1{nE86Ok_&*!BPKxLeW~`gt z%;R(IB2|*&AlmF*F!FZCe9mOi%IL~r z7BNc^Y8=)=%rXDACyBItp|d_M3;HnZIv3#BZtjQ1gAs>Zy7jqYd%9;s>7U|`pL*L* z@cLwzwRK^r(AZGQ6!*hIG~@WIs!lQc@vsd^ry?GN#kn6gt1JTe0p3GC=WRh%hdjxk zrgd27!WX4Br6Tf*4}v-;ft(ai958VXrQw@tPV@l}F@yAnu2gGH%PFPife*sL(A+F> z{h~bF?USIp)%h*LmM6brHK1P`unLM6I1UK8n2CNp4hLj>lb3c_BW_V)eZkm+o^IyOlHd!)heCT`k_2IvC*3Ol-ZXPEx*nu<;VlrAge2aH|{J! zp{>adJWTit2=K#R2X;|b*U*hPfW}%2q7#Ax$(i;@Db&$hE;E)E7-0QDZjJ`Fs;O!e z^k?ZXT(y-XU~5O|w8D?#7zT5VyTM;~q12U}LG(`f7gv!#6S;VO@ZMlUJozGL3E3zs z3XM!cXID?NO?wrPud4>IG<)fAxxIM9XF{j6o!e871%5AKZ%=x&Mc_1LY|Fh_HT zXml^<>3G)Yp@_Yr<@B*oD_aO0no(@B125#vUl@-_Av}HX-AZhTR=eGE2{k{OqH@fE zZ)gHj!+{UW_kM{$!l%`_Zy-J)Xx(!GA@CIRd|`v^b%VFm`E+MRv0=v9m-ghH=r~c` zVW7=w=yJTnmqYd(MgOZv2?6adn<#HF-76R%T_Mi^BB1fXq0Ge3ft=zFlRlC5c1eOck{wt$u?vNtE$1NTAhRT4Cz^rxXOS~$ z-^bdc{08*}BV{&@9tK&WFAHiDmUuse_rFV+lz1WW@eLeAAmcyHIYmy)Deu zh3lpC>az2idZ_PSiQ1>_HvZ8(%)Ph?6<&*4ILT1(+oJMN+es{b<|6*Z25RGep$2UC z#mYKNYV4UUJ6bF8A*T%!WX@j}(k<~}>`^JVjz6-2IrS_k%| zkr&lI`_G}(8t0TuNI@z=<1MqNb1UVmj!Jynri%epACM2Pxb}>Lnn~uDQ^elVN;{*s z{P}zr(e|#>)$d#|r9)^-Q!b*l54XWp29=f7p6fGH!OB`{&5L=5y%h zo;pX*aKA~r*sDg@1C(}~tx{J9;=K+AliL7uyp%yr2dZu=AJeT?yH8&#enIv@8 z^X_Mxo;361g>k@BbVISd&}H1bR^9ns==L%SNj2`XD3Vkf>>_LyBA*-s@{$75;0;!R z&n28bSX!t%3WKTdt`a4qAE!dER^P|S|C%9XioIcJn!3B2&-h4hrTeG916_LbK2io$ z`28# zK9wQVg9f{$m#wa$kNeJ7yQr7Y=S|!SEr0EGOGR2)wamJ$k&>4$4T=%>xxWpbf;xy- z+{O>nrTq-?tq5BwT{c01X7=@`+J_WEHQ?k^{@n^{IBj?Hi*F4dnGC|+0W>@duOiIj zeCP|o?_yh3b(4hdzI}Urxia4FPv6r zo?i+_R!CImvdCR_mqV`$R~)I)O=2zCm!WH{Q&1$qVtVQ}_FCFpxA^5YE5HdrJ30Sd z;>#?rPbVhmo`+$r4eh(DkyB@;S;2x{YxbJSYIfrqp}~?_-=8xfd3(Qh57hG$-v2z~ zJFbs&ibs!BP@Of&Cxf^x_`EN4i-a`(Xd$lP+L|-m>Jfp1Cc#RWmji-jOew2})CI?C zWBjo#1`SxDYu*>a-6Ww8lqMhv^9{|Kv7P@0B!EX;vh&_2NRSfSQwD3zI45ulbxS@) z+6~Au2?y--)V?Rb_;W8R(t=)6^M?4`cODz*iN3a-ogcnSospgcp6aD6TG44)>RPAW0!K%_}vQm?QyhyB7^(sG$d>uF$RD&<9;T_l0); zZudPb+2CO(#=*`F?`dD&k7&=@tX*B) zE8CYp3D><;b_g&fSK2?b&p9^}c330ilK zy7*392Mx!l&A@~lUX^{S@IgZe%M7jBX zTNV5~=e7qt1~@1AR>k}yzK&fA@F)CZH}tA`T`yAlc1Z_(R(hD(tyZRG(J70 z>NeE-L69gMiS0{r4Kd6b_6iz;iJeIa3Juz_kX?sfXHR!Ma|J)U*OYO}5Jg|SwQ#Gq z9N{Rmb13v=v1uA{S?zQ@Dp|F;)SHpt$PrRArhIb<^noe6!oAXbaqXKjf3_`(xC@U$ zl7%Qw>?8*_0l-7^bH~|@Csye7`x}o=*WBHn^RfGmh{xuKn6R9hog7bW)(!L8d*(SzN} z)vX-JbxjTJ1seAfDw*v;P$o{uWRaKb=NsM>sntqVu5FJG#2W0PabCij$vt7}!wb2a z-3L^3N=!X4zo~RC^8*SobFDJBb7V6B< zK%A<;2Zc@feY;E0w!jUa@$hL)Dhf;{1*@Da96HI1rbR{>q;H)u>ZUC8qy&m4vp&VzwvL5x_W_AHQEz(#BvA?O?{llw2L`%Ka+pGtHqpE@hjPA#=ySK|^_d z>5v`l-L}+_8Xz5BE3sdQ|G5!R^|65{=-dbT0=I(yJhJ4?+2j}5Wa?}&g3an5_i0DX zukn{m?WB?E)%vG2vrl^--}Vf*N<&K%W_Dc1&EnE0xc6IwT>L6rttgi}VQy!swqktE zDmR*dM?^RDAf}P|tLD1Jsub8~tH+LY2=w9b+a6dUU7Vz1~f2v-PWO{gcZ}xH`x2{E430tG|11cs$BE=b`z+ zvaY*8M;z9A=Icg`grnsN(XLzh;?>i~n+z@^O~QV)U+>@G_*c-?V_*^+Jo^p;esgZUg7mU}n+|s1=T=5**a_73LIOn)9gPtxxpMS$C_dx<3jSr5y&~P6 zZ`AEe-yLHvDH|*hghqeboA8kaNhkex*OPcn$sN2vQ4 zsv}dPKwWEiXR^0#>FAoSOsd-7fBRxLPILP3OFjml86(>fJV&_t#T!tqD*tT3Z#f8r zR$AxPLb@B#;yVQRN{gI$C(TJL(e3-DQ(ZZg2JXH7O+e{f;M-$B+?H#K@(w`(`^^@+ zk(toropVLXlMw50=*^b*e8|semlAK^c@VGqif%?N*{4k5%x0;ecr?Ghjd-kUE%q@R zcb>-qEB_tjsNvEiAXdN0}wEu8_{8KAed z81|M^4|E#gJy7>+FOBV8S5p2ug8`ROr^mWt4zbeYxTETA zll}r|c}#a&%lA^V2d`^1`I1kS?-`C%FWLY{9EK(@>FrQa7#*mC`YFQmzB}XxtZ@s> z1@UU7>hXamC#JVAKVS|01V~_qp@O0|MYu@_%^@5ieSdK-P`yT~yLM6URLAuynN&4R z%{RL=f`Z2Y(ubiS<)ri}eP6dP-@C{UJ9oIws&;D%(?46zmY)yCIog~Guy=2#3-0;g z(Dd#1wxbj0(aG|QMb?YmpZzBoj~Fky@JS9WQg&)cYizd!8xTbH*>;R+QRu_N&~xhh z@>TxCMP8Tn3l(3zV2{hN_Q@Nf=MU~9nlH1c-bk;s9}vC^S(i6Pp5Fzw z{dM1MdJ*H=j?{XKyIBmIJr?LUrHgz<=q{H|>Km`(@7c2yyJUSsWca>vCEonDN6`@2 z(BkwOWVUAxT?66vec52H99$E5bAkBuV6~amfMLXk{jyDHa0>`g$FKx+(qiRYxQY_L z$)37~>{ee}Kjb{6zUMe%_SK?Y6Ge7*(_gS#o9%9mN@xh-&o)oa{A0?)IT|k1*=qDV zC~lxs4XGxt4PvrChusn4*r^kJp+2-Dd@Z-p2!B4jX&oH)tE?Di2;&o(*@U{rN%q4| zT{ZjuSVYx61j(K|RuUit^baYSno;QM$2F#l^3UX5@Qw`cXuYmdgR^$rrtRf*`#M=# zgRAZ_X;i*%$H6LR!Jhs1N-iYI2;;ijlk z5aDXWiN^cVamqVw=fKqxKdI^~Dws=+XSSbvVc6G?dXQ{dZ5O(#=U+p z@gmX;x%_?j;z%e6>wO8F$qr@pwFb7Mfo69&V%?El|Jh`jW*jI2Pi1Zo4DtRtct)jn z8)0ia@ZG_$8mtk#B4|Gt60F=`6myd@Lw7(BE{QBU{p>Ilot%Ml?i_tCD~DJPzpd>y zT*g}LRqgR^o{ZHUnjC7f>4L+lZJ{P&IlYrl3E7+%M(9Lni@Xu{|E z2B7rv07YKLEWSY>Y!1E(mdNM9J)N7q$wkrZAa@0(Wg9V@R;YpIAh`e5M_F~BLJSE$ zDCAd|!EFoy&0K}$BX;U*mkVdl?k~=(VILpt*8H{X0XkDAyw=v};!h7CfLHsX#LF!( z4ZmCX1;=ahu7A$-adDVs>hE0h93qM>I-hixmZxogA#&0|7KBc)r}*buqGtE?-!r9il^-v>?t)r9ffzOK1q^*cJ$}9c zP2a5EmbQ}eCD0A)R;!0S$tc(Gp1!{NOvo{)lrv^D9n7K zlAUbRmGnH0wX(|>g1$fikm*vlY&~z&-$ptJMok;hV4YFy3DmCM5#Hy0K%%kO*$rjJ z`+`Qiy>Z@zWuh4HalAe_cgLA~vVW?pDYmHj7J1=$^!p)mKpjS$mv2k^wdcQS*>B3z zpRvr%bmQ=M!S8L210=!B>>Y3xA!c;O(1Qo5mPCKwy9Lmvc3^)%qj_#;V|l}v-KWQV z=zMA2)M!Tc8<&9;6320z@18szbe}>>Z>Gn$Q1`8RH%*sjUE9~$cyCAS5E7(Uz&=mj zbVx!~JVDRJO|YQ9VnWfhxM>JPK^;h)?Il~Tw?49%PF-aoG7}_^_T{Q6;tH4&o=+#K zhJ50tMxDjUpx>>lIRzKO{b^~f64*Xu* zp`yOqDBj>y3tmpVY5v`IT7P?W#WLhh)nm1n9#_1dQxk~=iM`uIMNC{gUEp2XEx6mX z3SkF}6p8=a{JcAF73>OTG;p_Y7DT;p4#aF5PrHBzv6+)Ji7OT_A83Zbm-3+OpSX{u zGO~l-*)-V4@zL4Ed~^0;or~;6kr=D*e~*QsVhb;QtrXr7+%Ms2_Ge-iJ}jSN7qE$e zYav^TZ5`0T$FigstSY2#{6UWb$W?Yjqezr%52)sL4pAA6m}^R1V<+Db;lnbO~UQ}KZhQL4bf!!8btnX}o`dX~Kq$5WP|w z^{+Z;qp3z{PEJ5h+bZhqnH8+2$AJS9?Zig=7?x6+a>aWQ2eta!Z;N~No0(4cX%hPB zS)efX$tJk@u+Y;fgwyX_P8vf?0i}+D%eDUGw>1&}BI)(|c@EeQ3J@ z7SZ-PzL2PxruBbK!S1A#>awVWJ!7-EzXYF4c4yI4x*xId+uyIK<{Z4zW;Exl^mY2G zwxkQbHf3RE9r|%z5S4$0`+~LVzxf6h0WkBEq((q@8RK4ZKGYFCrQLXF`6nF}%(k!_ zNwTW9;DEJ|P46RM(8+ZBXY_;i(i$Cn&aq%$6*puire5Czvx}dQgt4=qW?%J)!XJDg zr)Rnq3m2@Bo%!)Fbv*tQJfRVXUk?$lcu61-XgVIHid&YqHXppW>Cu|&j{-5g3$uOV z4nGPgCWyVX)^D1YubbS?Y-%5D{LSrwEoQ*wI=zl2J@CfVOc^kIX{+p-*o}T->#@iU zqu{-g(vG&eV}0slbPV&LI;>33DPkYW>mkMFljhD+p2j!XV++U=1Mgm=OAxwjbd4lCER4}=`d<)|vuN|{SE z=t&4Eh=uOUGUJa_5s00#I2d2W+9^Hl-J4|O`zN@-O=U9AI2CkQDRoT^jpuizZ|WyM z={7njS|r?kYvCCNjXRot9Li|)duKPB=-OxDwM=8Ec|2%;vyP1k;iwsJkv85$?~p8Q z`#HYe0jQ62-`m7b91r#nMx4OD{%Co+yIE+gm)L3OZfKmtE4DarrFv|AU2>Jme?=mj zCIjpazR#8h738Q41qE#3O~NKV{H5B)jP3@-ZvUxrg0p*oNCi{N)Y zH7Xhv!ZbpkYti?E7*wuE;;!>SeD;9mXd*pq>+zJ?6RE7W9Qg3;-w18e@-=}8cD_l~ z-5&?^0hYg~OHzgD&G#eKC;zEO_d8NFQQ-{zYsvUVc2u59d`s#g>GK{g+uHG3XURt- zX3r}`|A_SMJti{w8rx;m_1f&o(H}JSbFrJ(gp2)NPNe_H zF8`x?aQ@%jDgVu9JCiW7v2cF^um<)&{(Ff3*e;lrKb0%0|GDIUcrp`blK%pdJ^{o3 zjPS__eY#vek<v;QY<>3>!i{eR3vas599#sA+hQC$DC743g8QJ)akr%>SkmWg8H zVEMnK)_^Y_wcx0~&f`(lW=TZh_W8?o{y*hFcFW?+-}4PRSr4 zSKfzpr%6H3FMTMZ@m?<0zpcqS_E{fkuD0!%oUW`?smawk4vYBZR2@OD-jS`+6-S-s zPfv+X7X}Qi*|oUzYWEDs?*RX=#?~5;o25nJ6tagFG_JWB?bj7Ii+VRJN977#akWWZ zo19}wH1$5W5JqTiid|O~l&4EYQHuDuFX-NEAFECti&d*{O$a8p)vvM>s>%t~3CRa2 zj>Wf#ef+iZh8NOH((U<#vzgZ4H(R3w`vLXPVEJJyVb7HdcMr%H##1xj$fdcd1F&jS zRA=|5;YZ}s#^kvv(Dd7W6PasijrMZ4?SA7!E!;}JHQZWX7VhlWUmsn}y4!Vj!|LsN0cN$92eKWDBw#PU+E1{@odOhuaErv!LTjAI?!uNzoaG~{m;!{|(Te-_Yd)5f` z6*iGx#@rP*_Z8yTYm=qxtM9ZX(4x~OOSu9NuFpLTFUTNy+|!rtJJRTFgxCr z%tXQ-zcA0{H@mN3H=X3^^wwQl=Z(id8CqOe{G+DwU*J?Et_}em9Rp5cb}~Kz?j^|B zEb7vooqcvrNjWZFz&3f#pjm^_G`?&&j;6+tG;U_SOK|S{H7t~W^o+*}e*?k4>vcUi zG6stusF#>IU3+~F%z3DnG&bX|cYFdZ*+EQ$qS7RGVLz}Fckt+9Kv%YC*4ccO- zuCTW8#C;DBb7zDpC*QGZk42D^kavp{cr;e4_-N~wMy|$fHD;uim_H2r8*{3MiNO4~ z#_DY?;^n1k?Z8yFUF`l`{~<28dmVk;fj|CQQ+1M5py{h~<*l@_^Q5p>$yIa3?L+Rb ziMC7JjId@o^_Y`}!}|$;A3X{L3)y~*@LysPKe2s};-59yRG&(hmzr0ITS1Zc{l$>a z;G?7A?w}XVfTOROjTN@NN^CMx*j84v-=;Sj(+0IWgAP~fR<~Sj(6UcmyihwV#$>F= zswm@91usdUZPs@W_^oowCRgh`}4DA;QL}%RTpKuVS3V+w{!iidyBc}#U^Ki z`P4HvD>dt>#;zZyE`;A)mt+hW?B%~t^ObkbU|%}p45Ui^^Dhul=jtNRhXg9$!l;`% ztwQ^dSlW7qqPbZXzY^>>Ccq;EX+;Pw&Pef`lt$$jYfOEClE_>-N31WTk>JXlsY-ma zF1=`G&_?g|ah{3y+535-?NK-4hVI)*AEroTR3Kj4f+!%;uB($5mXWxnuFB+E6X8*0h`w#?ek>|17v*@=aU`tG7RlzH_2Ah? zx^)lu`QC<}R<njkaeeL9770)Px7*`=uFgL40o-sH)-W&%~ky1$}V;8T}$z6 zdV3mQ6KGfC#a7tJK$l*LQAMdo9+oQ#wa-qH-R@$I(9{~km+l5pSGP%4zhlyR9>IEr zNwM6?3i{y2zxP)^hK46Pm*!XryOm3N!y>GQ6?<08@d(iu_ufbGGc$ffr`0}HN^4ZD zUce0LbCc2UBWO;~=|!>{LO>7cV^jW=Mu$gojYT^pcuvz=ETV?%gV70&n#J15j(X3J%MV$7x!8uK0`3#(e7?^LFba0WXCGw*+T?{;z3U67tU%a-WZ0 z0LSViRn*bZ>CK+rS1aAc#VYSv@?19rTYdxX2vjv8dC}z-U;5{QaofsJg`X2+HdNy463w%bl_`sup>NM3<+i)NU&h;= z4O-~myGjbCCG3pZ4eX4K&F#6=slu)Z3$(Z}O^|-`yKkE?dTL*1DGW!g%!D8*l0aXQC6nKEYH|7(Bf0_! zA>pTjA%hVyQF5RSb9*c$aGPjI_kYG${w|VWIO@rJ@WZNn zB|Q3eJIgkW2OkFnA_NZ?MgNcv`(mRfN%;774xdgyfUkJdD3s`hS5lDAOshWG$j`}a zII;HYFRYVMEPTgcDA^ZsPFNrnziAgknDkiNE1q4(2GKNH!9wxEt;kc{O)eT_h0q&m zzR6E_u24=x+(6VTS@$&@=P*5G=}<0r-PH>2?&b3^6!uYhk-~SVnm}n~bEe0Q$Wo=b zEk$lKuwi|`sl%kjYzH+4ku-q8T3NKks{5}%ukUiON^E;ff(D&bXvTDbcd*?ZN&kH6bI zov_8W*z#ysf3_{Q{=nNckf_fR{*9I3v1qDeJP+U&FtlvrfoR(eX zx|dW%W~_?D)lw+gfot0>88)^e6Kpw3c>6o z=w3XbKi}QrOko;Ay*so**!}*HleUK*20f=G zLp=5za8y~3q^X}(%v?I9w**(1kMSIr0j#J*5(_u}mu+{4PAntR`<>1uhrBYEG6v7G zU%sA~zM*q2fm^-LcPXf0&~%d?C?sKu_xtf@-Z{^3WtCAhfc%Ql^5Q3H|m8B69`Oi61#!JZBe1m4I=+5d_ znWu2fI!mt9H&#cL62Xpsx*w@kP@cJsG_+0)UxyiJ(=_L`H#Pa2J8YEH^d$|{+~r;5 zCxXGRs}V!hb}~~)$sk^<@K^slv6+sJ*%QxXkqL-DI z)6v+WD=00@Cp3g#Th8aHsF?WJQ!Eq|7t#X5Yq67nwFgf}zR6gTn!2-dI^0FK(XeZ} zBgin5;IC~NC+sGTFL{Zn2P?SlXq(G-b4O#1%1Uu@i@#6R&qse`-X{}h-b~cnsHv$< z4yU=T@y0XZDbHS}myf9?CN@6hH%~loWa{XAEUYnf>@YE*R#|nt|7Gu|j_-TwnUiML z==AXs)$x&|j|5m--g@kO1qY$0k3Y~<|A7CiQXyw;5I@jbAr21Fn4}9=O4rU4$p43CpL6#9d@j*!_!=*Co!{NV2>7E1yXA1pp2Zx;=(0e#w!-^~ZhrN@9#jV(OL zL+M2jF->7x5Rv?&-S}ZXjFR-EeqUds^nz8<`~*`&>StIR(jVCVQ#jqP^aFK6?s_#O zh%uMEOV?c$hUxl6D^Xa00U>xT8?Hv5s2atu!Euw*J#vdBcPm&S8}#`zp0Ql4N2Onh zomW2v-R-g0&(>;xmaygW;vKCaGi5j@vzHV;dgp%94 z=)5V+eq&zCP;6Ne$dMM1WdLlv+(xzvg~;H)PF~<&MT#mgKTgUKP4La|AZcd)CZ`iP;m*B4i#fA#9`EO3 z?yb8~@SN!s3Ev}xke|-zsmQEumzAzbahWDXdIQpachFW2LRhaMh6{KZ8NBQaZdtQ7 z?TwgVek}YQM{^eLJ>rUzYK}AUPh447sQ)37&479*hG<*akx$^K3tti+y@MnX5X!O*9PPMl#F6 z7wM~z0sHMll42?~u~KiQLlnsak*vxl>6rNh`?%gSO_;qEQ_`Cq!FlqU{mpv1xAvg; zi)vyZ4K@E-@-FRNN0Rc#r1FieO-7By>veMQBZ7<)gsbfclSVG*N(^x6AT`G6=qm?o+v8pLfB;PJx{E_tW+xcZnbwF=7{8c+LM(q)lBg+-$ocN^l$B0!_dgPC`Ltx7l z=C8#n+M4T}XW?IhfDgCUgiG^Y7j1FuLy@>^vpfb%PgYx5ht^&;cP+ArNT2*;_@qtN zTEkP%?!PCbL{mFU8Helgp0-|v<0RN*@QAZ!xOnb_tOrpBOKl_H#{#R96ef1H&|YNX z%F6K?myWilR0wzQy^`_e)(Gi=iJLV6*l2a4a~u`ynDwZhF3vx(1LoSUlj1DYcK|FH zJAkoZG?IRy-$Je!XUAH1Kyg`ebA^KP9aOD~33Gqfy}%xFBPkGW9z;T_Kg<7=Q6&jY$ytFIThZA1I5M32I3(nM%`tcpa=md8P#EdFZi|X4Y@$;_K#j zH++C;2}y?=@f3^as+Fa<4Q2E5uRO39)?=X@7&r=#DghMFWYJ<=5}KXwf>84Lu1PAr zqb{3P7W8QAMiCYgFG_stVP@ zAJ8m<42mW41dn_X#wg#`t^G~Yd6ph$7MI;2m>uR`#9gaKMpcd4 zYBt#kG4z#D6xB4C%zPP@xhDR?_`})~tivn$6vC^4Rk9zUafX&85Zp6tp zZHXETQm7hMwq$ZiGEGYEBRWAg<4Saeze(gh9LRe588HVko*YTj;c3sSFIroT-^4!} z)l==LXlVCP*$62>3ZG(}z)iHQuA?YjnZ+=Y*s8Lomo<(V*HcwZ*ZhiCM6a^Cp**H1?CYV*NqphR57CE|h)R35;jRNty-ZJ1eU&flw-#AZNq^sTe@F=^!@gr|O z^SPD()d+5spyfAK6!uju&y~Q##bCpTdt&7titdjA#>FN_32btog48(KsEh&1^=EXc z-L6Kj;yVPZQ2us7D`o2RxrD5uaTDVVBUq+TI$bX?XNd!b%~$!4s}bi*x-g!wef_lO zt`B?XqWo+s2CB3%m^+*i3l-K$u)MHs83SduTnJRd=%U{$49m7LZ-T|vt%?vCcmEuF z0cwra=w&Nggwz>ZQe|*b%&8Z4OaD={k7XIzHP|(5>8oi2{1cr4vxIS+TpWhCax^Yt zAkzmCGhbg|Qp!v4WVGnU3N}D}VN~~a+-)f*_RVXz;0iVvk(36OLZB8g6b&I%Z&Tos zIDNoM=>3QLllfnJ`3BhxvU7ZMs0*8LZwlcGuO7M}Yp<`Y1EUNtS>dfxZ%v0icnm|YbvMc~)7Q6Rn&@!u0 znYKzmIbE@(Ln6%FhN4f`>lfU|J-CT%k6gMV5NdmxsWz7q2c&i+olpDa%^EDx-rO-@ zuYym9$!nL^`+6>dyd{2%J4vk#4J9vPl0=9nS{z0D;CP=nL!~`F{%mtpmw&PMn%+|* zAOPB$F3?K@)StmgJQZ==ru%P{Q|>A=9e8%6-sUDclv_DhRl;~i>*Q&+u%xrm^_XjK zbUJ_e2#3|Up{>TwzM;Zp_Zr06RVbSTPyQf8TTpqVn|SCDb^pe0WJprHeS177+;tW0 zS|p#Tx<8c~`!4CB_?d~9Jf`)Ho&ygQ;dZ5X28hEr>|iWi<>oD2Xcqf3OE;n9Q8)rl z(+_4cgjZK13;m@t!nEJ~Q%R_WW?`9>EgL_1cq&SEU$4*BCqnz*-Y5bn?08VF2p>Dg z*#^zEp|CimTi#uMn7|{F3n`V{0N*BMM3gY^6|PIA=-k@7{E?^?ZHPP4Ng5mr;naN84GqWK7h7S`j2D+`Z+5}!L;SYoiGDz18kVZNE3fI1zu0_a&NvBJ1tJS zfln&6ss&iA24t?{vGdxoto`K) z7ByPL_;Q#LrQo@uHWeVk^vIHhXaXH=)3Ye2>w<@B6o;F>OX77*Qg?`O)i}%mPyP|{DE9EmHHI~*5&VR zitYM(f4Rb%K9*#JAvvI4Wyqk$npBQV8j$Zm<`y~US1YI7tfE!i^vg$NL}8AnN>G*+6K940+z!D zc!~BYQiU~SuK(17F!DFfBK+{Z@T5zBw7=bq)2}Mh(b2gkhK>y|K)Y**Y{qwh7&5SY zibg*pt?Vc1JYdv^YTe4Sm0W&e3*`uUF4&>K*u+Z)xxp)swW zdWBC4N^2EwSdpk25pYQQJNBcyPot<{lTfhDT+wwZ4>sWL+`>ERAbH>O+Vq!gi`6^+ zjBg`XFtHxDnU>Biq=Ur!U>Ys9u?wRP)&9BXui+DoHa^A`mBiO6k+)%Rz|rOrcXOxf zvxS+lF?_NxpS^$aY+@4E4-oTADwfYL=gXG=jkt4A&SmQsXl&cIZQI#Nc5K_$7u(5> zZQHhUV%xTzKldqa^{TFZgkH1893v9NRm%71U(}R$ zmQw=v$O~#Z){dfyz==gjpdlNjEU|s;l+rd{x^Wjb3!$}D0TI`wnKbjZ(mtMYLOJoo zM|)%e6+)*WxL2v59imfj8D&*{Z+))So1>!v^#}+Zi5%b;VrLF;83udOlr!8!5VxVu znkEO1{_}bXtO(01)=0L_{+<-QKwoU&HJ808vsYy$bfWw&O(9<*%-fGI#(~fnynri7 zS5DiOWCcN!rI%&EM5`F`IUf+P28j?JhljL-hgICgS0|e#=e+`*|Ki-^u23Vd^;cK7 zj)b+p@*=#6$F^8mL@yRU<|1LBv_VMK++)- zud2pJl+4mWR7B`UNDu)1vJ{k^Zu_F4f_9gKTkKsF4kk*#`PfevsW^>QhB|O97dE7b zCFpqs3K`H5!~{ro9y*)IStyt|>OR$spMaz{ILZL78bGarDFO-DpMiY7+MwjP+Hmju zjM}jOvAZMM>K$<`J@bHNrMDdriTZ0$-YnN#)udzmRw>>LvnEoaL3X%)9?T<~Y#Yfm zJa42;^|;Cbm8zjr*XIr!SO+@Bs{M&!9%CA2(I~V0U?Y^-Q_eAGwImjjDK@Mgx_z5N z%&H(Pr}LL8v`mT%UME5w&R3X}Q`h7a1vI*}MuC#MLk>D~>+1^>F_`5LV8TdnIz{y5 zxy9X6M=qcn1aYQ}4h2P_5ICE4v{FzX@NyCWmHNHXceQ^Y3rPTsKaD|%t${pR>Voz9 zcU1xa8R}dB<2@jst|N855X)&yv8GXBL#L{M99@jk2(`i0T6Y#LternwZAA-4dOS%g z0e9~u@~^FIC73UlBOB-Jwq73(>Bisg$K4n(L7$eZyE^s4+x;KSVq~*SvujM7#94zK z8Yhi)HHN|^TUNAOTd0#Wz3uWF#~Daeo`&Nf@)244pPEF<3Fkvr)1=E%&B=ywvjniD zHKS%}n7hT}=eSd4U%= zo;QR#tn0mO=d34!C~JIj5-DA5CYRsB_2sUE$R8qlaU_rlddLFYWl7F!jI06A0T^P< zgjm~THGKR(dIHbUY<9O(&q)dtpW!#ZZbpVG1}12?q?v!#6>QCEW*KS`vT~Ra7jkN; zeT#Vgg~zbT=VGocUL~`|c1X*C8&C~2)0oE<1$jJtF2pUCcGz{CxD&GvQ#550RWJq8 zNj4qR)5u_^<_?nb&?tldras?8eNAol41L46OO16<_h4!Pae4f+?k>SCg|oziv&5!e zQ3A~P#wy|mUE$*m%)vI7g?KxXEHt}G3ourQx4!@2la+TygKZDh{wk7G5@C2xcPK26 zC*#WE5sJ12^|&J`e%&Bsb>l`mZQF?pc*%LbbrQTObi}du6Ly0D0$kLnT;^l1%*u)E zN>KrPe>}M$F`v9-A(H8_|IO6Mp-ZZ2Rbl6uHwzettEDqr5dSBoiwN%%2+BS}oP-iR zg)$v;Ei`YVGv;IHk=U~fC3$@1z>}&)E5gv`j3bIG+CWQoh$CZer*k$1u1DK`M)M?+ zy1TD^x_@3ARhi0AqtVf3bS9G@`iPc`l>;l`74mY%^7uEkKB4AFJm`bTgEVgCuHhOO zE<`GAU8RxMTSa`bY(md~4sawZE3;(hD|&O3K`hss==_uSxwV2xj&ens+?z!@oA&l8L-fn(XY9W>rTL zsm*&a<#1HU^LDq#!SKBAV6oeJC5Sjz75vS=kOxElDpJd?c9qhMRPj!<-t2Oz4)107 zMTl0=3p@!C5=5ku2&AYQ(8^l{)c>{l|CJh@i<#Hy>O}TXFj}(Xmv|^NU)XBZ|=9y=%aA z5zs)eo^@1Powk$+Z>dSmnrn*aNzK}>Id)XbqgRaal%)-cMS(UUOm}|qn14_0PGz`7 zF%diKbn@R$18)wqU%3TuXHU*sASZ*Dpg9I)zOBVv5{P}Cg~HwX9MIg6>l%n}Z{bWz zL}9Hs3F5uRGrWR|{?&n9wNtINn_P6&?!e!7WAs67o{W>Yk|9EwbIq#UOs(oyS)@c{^;*C-Ftv*YGf-v1r5|x!qKFzq>gFV; zx$qcN(JYO-=rFH5Z~3M3UF`gpdR^RH_$(XyQ=XP%%Qw)rhfX@ zko3UlG8`=YF{w#V>j5_<&kA+4*#`AG_(+4^g&8*9JelBcg#SdkG$6_h0mC1P}$^tq^h`$;E~y?(z)0yjGPv7WNh#-7=c$)Xjfu*D$U~DyG3MD5FA3#NmW9wbz zvbDUKh{eTSP@JT{$y#X0H*tBIUy1^Avlh0yp2&bsaMM1z!OZn`KWGc33^B>ql9yM| z=WDe-v)syYl-@>8CO76#lZ{9-w;815`Pjj+Y{)r;zxgCu( zP|osnc`2OaAu37|5*lg%s*X`Gh{irh$^L{x>#9B2ZTDY@IQ<>=3r;2<4y!vW2_Gsp zU-)cd5j&>6z?J!)v^nRN$IeY**iYT=y@a>PO_6P7j+ZRm&tNX)3Y-m$S@&)39AjZ` zNUNeewg){QCCo)f-??$F)XF4ggVI1ESVcL5BWH(rD{c22L)_FoqztGLQDuUr4yhyt zhQ|__T@FOf9k)^0L+nCe1qKG3sm^;(T=Xx;Glz)RwVM8S#iQ<8Je;YjSD)UuxiUSwpp!1iqkDZ_Z6=eCHooI;Ndo6$XmcAfH)JWt zwFO-7w?hpzW5!QrAH;D)?$dBQI7o$C5i2_KYo9C+J3raUM{IfdnHufa3o~t*gHxr- zNxSXuiw#UW*bRv(Y)u}1=lR2Nzs{$Wl~TEMCGLAwMMp20XWkh?4|A`<%2++hEOdu^j%hTjgF{9hZe%X_vm+ObXdVTx0M@QC#)vG|)F6N(oX=hXNDR2t!Dlk* z=<&?0BA(|QRFXQ|$15ErR^!m(EByr5a}hu8r4W;b|E{S{D3hK1z8Bq;^H?=C6xJg$ z(+vAnW&7OAgGsxM zk!w5anXJk7+TivqrXDX}D3$Yl7;WuhcAmKGQW^-8jEhi@ee8MLZ)D`eb-AyfI)tao z3<(YZrgk)eHHQW?!v&)Y{loxlxVs;p^Wq+F5!_vhAk;a2I zcNR(DT9V3gm5RT9om#HO$61n^Ki%hA5{idYo&_Gfux~>LEo^T{I>EWBc4=jh6#bJGzlPddfcvc%*7Oj#4qGAl?ofyh2JqjNoFREmU4F| z3S0c&QLrFQD71qXemx|kaCA!II+M1J3R@e%VFQIz2k^l63}sh}#p0|n&t|hO&PEMa zdLDSaMW&lW1+ioS0LPB3y3W$@MQ~A(QVQW2OcCbdy)ix1CVma~IiaDq^@SgV*nWnV z;?^DXbuNG9N3RM5dFzbcn^f})jFxDlF_gjMW;c-#ZhE}lSp9GkQV3)KbIcRRPEj)o zg1o(D9xi3CQ_}I0nnYtOi#935V5n5g@d=TsU z3U=Lxc+{z=I4h;uT2k~ZS)mBeDvwnkqy^NGs7`}d&Pa|vu36x}jY;L9SE^%T<2EN9 za)t;*Qz~!{U1}*#qoMApGq?Bb#H1UdP=UyWd~>eKS-J8t3*!7HLHM*k<`EyFz7p_I zP$zCEz)lHbFvjIh#*7q)jn9oq` zbAmTpZFetm>TZ}cx)?L*D@Qx7RZ8z$x}Gz=Myzvdac)wC`KnLp!CmtEddxXk$4_41UCSF zszY2>;>h1pJhzWSx!N%9%nRzjHUQxMh6}c9)BU*31XOj*Tz1)r~ z`pkl1!`2lOlTV$n49ppD!Vbsm0XI;iB6K@ zsicFOz(j#f5PhXd8CAr4Z-g1V9_LK7^5{AJB-On+W zNs5e9jQkud?}m?coVLAgH#;Id+^2MwB7Pw3JT`XDDh-!tV63q~t318Y@vYzdgW7&W zxBrbcCnK^WlR;sh*>&C_z_E^ikM!HNA{>AmIG7Y6>KVZCklThVK6N+|)R7jK6zj#) zwBx_K;F%>r#^YkbIAy@|%%b31hePbyp)ekF&U{ge0d3agol$1>y~<}-GKz{RZE}PQ zp|?;B^1te$59XTrSPtWuOKGg{F_juY_Lg(K0wE;qL`Q4Gs#;+myzg`I@-vvQVY7;X zA2Y|2g|IiT?75L;W_r2WIMl*Mp#Eox(Tn7~Q}tYoaCWPwZuMRzT`id2wM{YZEfkK?wL}t3Y8j=`od334wl#O4Ks(^fH+OlC-@c9*pS|J-U+cO+-8S za46sg>Y1(G)w5do(la7qQb3SOQ#$R=Cw%}cE1!1=Y6THlnme+HlfU^UBv-N1e^ibf z@ghV(6TuIbA4j>gBuhvTcWc93^-MQ##3YZfjQu{Nq(D0CeA^-6VV_$?J;bBqQ29AU zrpCx5xs!0P;%kpT%f}V{UlzBM3Xq~03XB33c1TA3K^rAjnmU8clLPW zfx~WZ{MudycL8a?Q8|N^J!6*FK(c?1GFS~alv}?V%=HNBq1CBTgG#oa8BWOrR8GjK zQhezH-yEnV-hgxLNG7z6o+Lx<1a#1)JEguKM5_b1g4Na_M5rnpeKRnD0D+* z4D#4eorLSWS%*Q5h$!BgcF9QNxXYK#hsVTYPp9O*1@t4n>>k8o?g-y3rH{5stY*gB z5&`{kv$R6OxD+o4(8?zL>CSPDbAfPg)x?t1z+PX*cJO#SJY=xdW&;iJwfd|Te8tXlcb?6)-qnT5c!~GUeTz*A zTCj3gwC8##CLx7@xquX`?Q`#bChucs%FsG_(=mtcNX?*b8PV%vTz>p<7JWg^CpLwj z3Vl09DAVM~&gNk1HnzkWQpjZhQ^fu>QZILJB)DP$S=$q&9F(aT29xkto)nv{mIn87 zoLU8URF7Rc{`vm0trGX;I@@cZ%hVlhs@whU5H?aWH9|etOWnJt{Spp|W?oD~*A?SKKnFG6s8 z!kZ0@DTakaDJO1LV(G-8Z^$Muj}T_l$5JLG5RmMVi-8UO!oi*T^QJ0&q)wikDg1XD z3YD%b9ec1H7YnBy;DV_RplHoDzmHPxZk*RmSI*`5k9&@v*;ETB7u(FD8Ijo?@k{1_ zIYtJ_-SmZ-l`=emhuy*uN`eW~$u0&5h1XcrBhQexwYlCkojYA5(UDt*g{_$^o8x{x z(TAo~aPV{jzIr>D=rjr2%Dt)Fnl*I91s?WpevZ_|X|Q8!-|Dh?OxfySwl;6jt7eTG zD-d#Dors(AeF-vGyca!j=SRrl=leR-{_SnXzWwZEKkamM4l~5j!QKpNX--f=(DPwQ zeaGkMzg`PKszs{~#Jj`b&AldwuZK;=zxl&|^pT8onp19yBrz6tsl>!75HVfW!34)9 z8}srIqfF@sc!N{ip=-NXW)IR^44dC$#zj7Ra}hZyH2DJMbd{QEDQMFfCtBAmzl?bN z&5##L_()RS4?$42hmT|Xi`wTTGS3zlNoRz#=6V=Yk4WPc=liV=%OB%rh;o_(57 zPOs{V_Dak6*k^F+;y)daxzb}#PCHh!L2P5D#Cu|hjXxwgE`D+>w|jDy=j72+Jr0a} zVjsAKd_u!S`JYOTv592l9p;A(r>%@r+lz3#m$lySJFj2ED^s7+4~wd(_C4xZ1YdOT z9suDcjklWz+!G1?$nTSdT7?Sy)vOC&{+i4Pzhqv`#Q3Qv9qUhRDlLc>3kbIp_kTPl z@tnrEN4O?RITo(e82rKP5h5BNgP%8uOesc$E-gG^Brg#hs3-G}SFucp9c-Qlj%z{s ze!vv$09)Yg{LYA{M|^A_r|f@W3m3r8e?B~d`PCzo0D4gsg1p?!zxr~KGU2$Wkc`>! zUYSZm!hkd%RR&L^+7>dqn|*qSE8U0;|5tTuD`|sw+rH;WUJ7$la_QDG<%MA5+_CHp z3^`G*$i56?LTU4XR(*O7vaDHTQv&;dtYMIVt~<>Jx{VRmsGY$eWsPlU}CrhU2IR+nUyW%ydtL>?BJjxWRpMtGQO$SnvdP_?K$qu z9p5G2c^hiUr`t&`vvagNN!+G5ubOkV#c` zL6_g%c~q<+wmg_fQRciix}+ELQz5}TJh3u4BYu|+_Lz0xtEK4X2&J$-FQEPyEL`w# z@{R^)rFOhDy5241)ErPEjZNV0$etRu+=bfe{E$NPL21nWeD~*N%YB^J-0|xk!0x=O zd&dZ75?$(i$gO5D=XX4A-W8^rLpYbX9OtOFoOL1Qo{Pav9$r20a?0nbG!@tl7Q3>; zYx}~J>dO-(rE{<>W$S6K@iF5wmwLMAbi3}P?K->4m(Ez&N;eksINNP9z1=e(CF9IU z#rQG^6m956|dU+AF} z{JWb%9lpW&6Upuz7Wx%#)|+`rHkoyP50#)*yAF~8#AKQOQG`ho>`bcnE5r4Iuise* zU29g8TyTmn45APqdXe;o+%78;ZnrtsG6{Cb%iqc6GL_J;sky4H+vlLnbjhY6`M%c# z1UX}3fCULm1~DLxo>08X_ktl#qvgm&gBkG*Amut!Wr?-J4I^xbccjf1X)H9?g5PBV zasIBrpkiGMFWrC&)GmxH(OOv@P&Ht)Y?(cHx=J9wWQMjSXYB(w*|Xn_=pyV%X5nTs zvg@00Gs2Dm?*HjOb5%}q>-h^H zyfmp`N2KPVY7m zJ3Zt<>Fa2yekyA`(~h`rp%2@Dh?}GYcinif>OGouJROR6kR`&$$SP-L;AuquWu)-y z1u0BWNBI>!Fre2d&0h_G21f1)AZjBelb8HTZyz3*hAo(B`t77y_hrOd$k81a>Oi3x zoMYREx>mbJsyyI;-rkSmP0vd`%Y@h$3(nC_X{jTR7bX>u?PRd7Oo*S$sDdPYCG8BY zQii{K*Hi!Q!IcupRh7h(mcW}<(s|@6qR_d6^FFV!+*N{|MaH+3t*(MyoT_f`vZ1o2?&Uw>KA-qE?VdQIuy6%mYkzQGngyU0}M@Kg7+$$T(%rj5kd zG(UwO;Ae%MLpU`&+fgOxUVZ!hh5WgrW4}<{r@$vLAe33U#5+QF>+TA#=I9_1D<;(Gw0Wkg{-xHKo6hSqL#FL zQNoUEz5h_koelJg$J{H{m$eLAJ8a~C0&G8g!hy$BOI#OjoATU9P;>&s1B5Bld-%rV ziM?UOliBQuw3dEPvgck#nAgpkP2in$9MR2-d*uDZ?+ZF(ePqqd@vJRvo=SbOs(T;j z;aTy>&I`$e*`Y9IGOV?(N&M_bH%Bmsz6*CbnwxN)ga}O8T958eyC~4I)rU&>KrRG( z+)Cv(wTQz@p*s35+-VMzpdonb8nL|+670P0eipbYIQuMm`9|(veJ^%-;Q_R)z!v?| zlQ1$AyZULvO&De|BgMF6f%pe3O~JEA5W*lAj;KQ@*B%%EybAq_#kHZxC5j{Dg+`qn zvT~%8LY&eFSD;>it&k~3m$i?_GJGrLN9 z*5#lz#CPBu)WY>2)|oBPEPu9Sk3s=0e{o}}jmeV_LKC2Xk-ykEj6FxR{1wp+zj!|8 zlBYnkpw&ahJi!9~Bw;!@M{V>Z=wW;YO8Lw(Wx^7_$)X5?VZU5f5Eb;)o&Gqer}#r7 z$VYI#TTZRTQR@}PeF!liNml+v#HJ_W>0?czI1YU1?Gon<+ztMY?T$l7;b*`OL*HEe zSK*F-w$4QmdSHcx5WU#<;CKdu57`;e>z#)GVNlE((FAekEp|LGZ~c&$?(6RYZag7SG)df{7qx|+3O)o1 zwUY-b3W1c(AlfAC5r!8(V~Pren6(phQ;B~mIb?r&dCxP8Sc)H0TAMTj z+FgC%pAel2y@5!{VAe0c-NDmOHmD4y{mg>amjlGJ-Y@=H}%HwBxxJ3 zjDK>bXe^Lt_y)d<=3V@dbk_7@7SS%}Cz+ z-|9g~8R#sp45PE7&u%wy8&SGQTb{t#B@p2Rxkb_lkNir0ZCHFS3xIOG-B8v3vv{HW+8e))dm`8_zFbX(Dn=2XY?{5qa_b(QB+C^%ry<_ zy)h>hDy5zn=Grl(6Yqj!3+-~^{eVtBBFzgSwZSuBsqIZ`jvy2+tuQ*u8%8TeJ9BA~ zDG$hbH$Tl*Y*0Irt2*&YsTQ%LK@3u)7Z>C~VkFTO_Wd^!rCa`j7*0=?_kG$ew(HEk z+r~YL5WzTvVEGV(kHfLk^2+zbonrxLQaDfDF65X1hx3T*h96s)KgHhHUp4F_K8v%7 zJv6Bl_m2LF7)$#6clYxPej8Hc5t!FN$3wP(Ghx>uG2tMA=zyd}_DCbz{i7It4)=?_ z>QC3E`WAP%xS8j@2{&&H@<;baKsb2CBN70k$Taq*VrOp%S%f^_C`Wh@q-b0vSdeI$ z^{C02!B9@$F0Ef2q%yaB`j`4MZAK#q-Zb(|buoKPItHD_CbwnLiul!wyg|yDKVxY6 zL^T2Q=EiXmmi3ebeEf;j5#xr+>*$FaVr7Ixbn_T52ak1EwP~VRoVh@d=vhY!li`uw&$R`-ja2> zLzOe8w&&tyf)gASwN^Jy9%#Oo!kL;?^50Ot+IIp0N&J0##EV-ao?motaZ)#?h*H)X z`9ARP--%m7PxBwM*p>`ov&Z;upvSxlYauN&b>2XNDHr)0n1?_1uY z#5{yEvhj9F?hPA``T(KrsQR9P&Xv4c7mULji8Zd>8(?3>R?yv}SR=oJUDL&zzK{FK zOCOLaKT?-|NdLH)30|JyG+la71faA7iK9`QtT!6Bq^_9LBi=L>R6_%ZkwDkGPTu$) z@K@v!pl-O;$M*R)xvdcf;Y`@O&l@SfNFL}JeepNnqAP!AloiM~pOSxrZcZ7?wgRD7 z;9d?$9a~rn=c_9fP`Vt@Y=1z$5O|o*{3le41Pl4HAOSF2?3tR$E=6u|#Gjp%A6@L*-ehb+HhczcfQ6R~_M_lGX+f#w&rZ|% z`p3n4Lb}X8AIf9ble>;Z$A$~EBb76=A3M*ss?XNRL6dSN0nIN*7c@b_pGLF`L$f{y zY+n{^gzvBq(<~ubmQYWVQsIul zx*2#y*UZ!5j{mL$4bNgsQi=5h`1q$=++nnL99w!-dA6fn%Bq*7Xb;NsMYCz->;B83 z;!n0^wi^t&37OR6=bv_i(nf2A#4abU4BG(2{Chr3Se@L=6}b|U-L#W_-n{`Gw0B@N zVe7}jUr!ejYUx7_-~;l6{gbccD7ECj0oe=rPjQyBk4*-Yy(9p7of&uyojts}cn}#s z1!?xjOA%;GDX5$0Q$7s!&4sPQfTjs#fd>BXrU7&t|Gl?RKucLq2`C#|gC>A+Gsf)? zlbpjnO4+OdlpHD>(=EYhVJv3V8fY7ZfVr_x_YPrKoV#HxSToP*M>sPFfH2ES|69NM z=!b#SZcZcFl|{?i+k<61dyn4*&Sf zrxK57qODyzFLTH}wh(l+ksHgjAqn*FYYzHsa5OG)>xMHD0}nD_@u4jyxIB-40DWz9 z?isU5V5}evD3kaA5avR8h`NR7s2)us$J?RiP2i2gq`+7x{|NohF^<_^IAcWOf=LPV zJPBabi&w>ZUYG{|HOo~m?Ioxn6vu&K|4<~*8U`^MT_c-zVL3SQIE`V;H}OzEHUh3~ zaarn>>AJ2lHyOXp{K`-r)2d(j67gX#H-Eyn0kpYCID-GZx99$%Qqo!%1*97fuC|J) zsZ#?2E0yk8yUT*n!_VHgf##?wb8@GA`)#(V8fARYUd4y>G4eO>twUH6JG3ikh9%pF zGadS4)2T#kT2F2Qc%C@)1se!DTU14GEp+IJHB!C@xBI>{u^mo_3 ztEndpwSWD~6G1!(`83?>;_FDcy;JlcI>?CzhE$Dphp{9hSb6LO`VsBYjh50JdUEDh6q2Zd)n8&JIGevPOVlV0TB68Vz?PHJHBmRehxnC zs9N=g_ewA54-mHw)=!#TwY$B?Wfm;*>9zO|HVtKmb8rP-S93ko{gjb}qYrVvZ`}_f z=exY7G4U&S8gy>#%tNA{<7ALi=;JPDo6sk89yo>MKcN4N;PfPR#BLrpHCo9DKlfcz zsUjqY_ z&{0GJmd5L3%i}TX7+FZkKQQ0C$4_ut9s5@%zp7pIgemV9PlG=D4x{*?b0bF?Pg`m| zenzxhzD=t5a}WeCZqbu^Q+y^rrx0Zi9s=ysKimn$mQB#(inpb_({MN^V%*R3NnUUU;`Vs@)NtoEQ%^am`Xp) z9>Wa&1o}opgqC+m1GZp^!EQjL0x)$!Kr=myH&c>LeEO(Iex;-1zQ&Kl0z=nK{_7El z!vcf4zD-!dHqx}mkIcJDx9B*N|JmUpc-T`1gbE5%{FL&=ZE?V5gn@1sH<@td<;-{O z<*j&!fu2*_;vkgS^Yrs6EMJ>EaB2E}I|%uH{{(qvRqgEle%^W?bJ}v{0oHq&k$WDo6%L|||Ak;VOST8z~O=DV>y z-~wrXZxJiCV6dB|G>QgiT-*Nen(L&H62yIp2wI;YfXj#Vw_`_n0t&WpU$@B1W^S_j z-@(3g7pLKVs?XY6M7M6`mNjb51>{oTcoU!L79yHa1vvebM^g* z`IA1Q4D*WoV0AsfdS$`+n?R>N;O3oFg-vacM@L@}6^ZIcJ!ACk{K-j1q74#|3Qgoo zaDY|nLoar_jdz=!2QCsuV0HA%qW*&8qe%S^t@bw%=y{raTkayu3H*yaV?U7s-?~dx z0`*FMBP<5VkfGjFEQaK{^TZQ|d{X5&34P*2PQYU6`#|4p)!gZp1J79a6ye?0v;k4` zcVaexUWqlNPYth+oO(7TN$z$-6>&d7_Q+qoHKH~#SDRHoAJw_rnO83lS1o~LmcOE| zGHjc;tQ7Gc5(B;MoeH>DAGbyjBT%&b%fUZ^o&Rcy(n@9stOtp%%vv@1UB zgJq^19NUzwl!ndG8VQ#)-YOn*%+;l5ki)aZSQ zx(a>Dz^&Y?7+bW;RzQ7q2_qZ-4a3aHNuAqZ6^T4&v;64Z-)4(*BKaYG3%hmew`czD z{-pr@tDY`8qI|f?qM_K`dD{5w1SIS+gO)}TbD4Uk?HvC7rf=t5o<4}GjjC34A13I_ zJ_lZO-Hlyi5FoTp6$sab<+W{f;a>_`(E;u*jF4vm*Q9yWM0a0w{!{C(Pu<2yeYg5{ zVK7+ejSyEG_odF)LohSk?=A z-l7O){4Kr11McX&b-IL|j*^<;)mO_>k;Xm{aqSwvAPS_dJ7N{;?{VQv(el1IpMCQ zgH_g&!g}3xa0m6Cz;JRG%Suh`KLehZNRSgNZ~p~_Pf(}Zgk5s(Rrx| z0}=PS!Sq4aVufHwbfGVg%gh8#;+O84DD$IV!QAql;<<~UdTSx}da;QWhWYokhGuF8 z@J>6)O?bV?o=M_ZiL}S*zU;^h72^U4zVc4+ZU@K9MCEu)4(%Nfzhl@4ZyEL(aux#W z{eTfB;ohNsx25_uH>Mke&8Hse4o98qBGQ5NQsUZnd?qFdcWb_YOd#&95Odx%>pzpf zb<_OvdeYDxG8LH5e}=BOg^MBU5f;@+X(iIfJD+Zn|GblC#US?mG@YnZJI?{w9#`Ex zdZbh4D2L&GM5BB~b>#oFg+3vLTWiLVSx_&pf_@c-+0cD<2?Y2KW~XkLQ`s+^qc@22 z6%4B%z}YAv=65BgzB!+fUhxmrEsE}J1arWd-Y1*go~ZYkMKBkwC<$oa5MC{Y3ozWp zDxJOn|8utnpcI3)NS;SstK>FFwkRu)VNXi& z0M3yv|)@lUQk!-xSD1cJ6{6`3FkSQ})&0@<08&_s}w zY3BIlqudI|Mw-Ql+w@shh@%gT3fKe)#9!GT{!gA@>3fJjiBQfJWz~`=s`apjx6T=# zEs)Ku>v?r)qUWGzXa7T3t7z8lLO7}q4z-b_(daOEPDM0U5|)vikrW~^KN}yx@!tm= zJpux6|ITmjwhR4G7w~!XSeR3XY@2RZa&3FB;Of?o_U;;df3D_J`!RO}As_9k$oaj8 zuYAW6L6>e@o&c})aYEPjBCv*$DY+^610S8Ttztr?rmi%Ekd$NmC-^v>0kEqnpB zo4fd}aS0-3=LY+r)gR5RG_gTkaYU}xpF(QkY_P#KJoX?}V)2`e;nt*54B4t|HUq+J z*^Mfxr$NqAQ7*6M$?FTzjPb+7<$%5jMZQtHuQgARV4oWF!mwzs*37-yg%l|tT)0i@ zA!uDru^=SA8li1hKqH}rSON22(kq<0|LItf3-xQ|7-`Fy5BI1b?hBNC;7|Ga^UDYG zUtzBW8;`1GQ|(cVyp`*85Gc*VD#ux$q0b zg8I6%*7F^%tz4e?PXfPy0^r*~9Z+}O3gvca4|mXiLrbLD!08^Nb&qZ!hB}Y_XN&_@ zuaMU%KLfoR?t<5+j7dE;pFGd$_ttkTAcKNZELh*Lm7hG9v$cl3XPqn$O=<81+UBcL zqfD(v0ol8$K$|}<`umT2CsG5KAu%m z>jQ~X)<~Tmw3p+zfjB%cMre(Txj+C2-X2IxBa z-6(b|4dGziEr>_)H@_0Y$sXjN7NJroQnhoP&DP0PpEuQAYYiQkWr-FP+Dp!^UXS$} z-%%x>OnIc-fvq~w3p?Qt*jYbL2X0U|o7eIp{ecw^*jrbK+dGo!wN5G6fL2+94__oN z6J7@&tPVt=FE?EOC+Y#>QwO`tIm?cnh<$efQ^RXqt?>H2naB^&pWx03HxT($WhBy) zPcVS=tL+=MKqz3v|767wfSL#Twu*j_o;Jw0g&57HT$Opp;-fZejXgrjW3Va-K?r*` z!>vQ8<^O41|5KY?856V5HF$Hmojr3B$Gc$-3TYcd8=bc%VVq^*B12nRI&*`M8uI9A=LJ{#e$$g9Hh9b3RWdA%_Fl0Z6R z{xir>Fm2NVGvL4F(qJBB<38zK{KX@%TPPbU!4fn4WoU1>GwF}F+a9)}SSrIOUI}q7 z@Bf&2J^}`rz5n7NepwbTPHN5B2@KuUEkS3CzTO^s#R)2nZgqTa9UypjHDi7aC>RJ; zkuC|!oDt9e#4^`*LVtd+=YaY|)F~0TW%v2E?0y=3@d0h)EfM4$+#cX#cIaDW<0xJ0 zormZL4A#bj^Ui|%GFH2w@}91Tyo3J6YM;hghBDj;T}>~GD0P;A=5{V!U&5aJ7Lxom zKrt6Y9`O@6n`3j|hTffdzrznuJFXu!Fm;qV81m#7o~BG&O_V6ltUpNd6ba=-A4CM7 z=0TsmcaOb}HnyS9-1|=+u&8nKW(V!pfm7E22&cICxkET3 zqEa77&XhlJybc6hv>z;|=|DDF1im=79_g14jYnP|aepA6Il)+-Nqw1fh#&b+ICf#y zkI`aGxqAwlGJhz1)=qK3@_xP--hy4huc#oeXfVB=D79Rk zIj13+ck(te9Aah{(r*F9D}mlUe$Mh9khewnk*|n`QJ&&8hCk%|hjyWUcOaiG`@GPY zRf_yOEdu{hhkk<0_w7X^J9%>mmG(GZbiy@s!*0khT#o#A+Z!u_(Q>TxYV!m9RgQK) zDJ8lgdfK1G5~m|;?WZo>r1;{U#v0rp=CP0hHCg%)SI!nq%xj}iI+u)qFKctz34S`> z>(m8=E%XtXjf|fK-&9U0UDKLZ1E#!_RW{gW#JsNX7ZB7xO=}Xs$iw;B>>U6fJBY=J zANp<9n#^X>j@am2K!{|EZP7R=KZ|?te((sleKv4%8~r*ha*ce^0pv2IJU8OsSio>; zZ_Nj<{X|l)rW^ZGQ%93WhEK8u7V3Y$h;`~_Vb86fuQx`>#i*6i8Q6Ua$RcNLNksG= z?DZGuLcGXAZc3Gg;f1-w3r`{Py{NuhyxQ9`NGkUwL2EnM{4sw2B7UEbmbdu$xFz8A zN8))+ZT>k=UY7ofcV*!x_{f@e62w1c@Gj^7;W7M(WNy7;)vz^#we1F7#--&A`7yxQ zgpa1S=Yz#&1rz+B7MVgwLw6oM*A?bS*%G=kGW{?0huI?VB{1}2Hc#EMw!}XHn!9z^ zF8^j9UM$^Pd2E1=KOigNV{juY@U=`$Kq3Y8vn(sQKIBkn6psUrG+ollxmbPlB`!7MjhXKPBO*kZSjYNQ} zYU`gkYYo^BOEA|tsBTC8SmafIpwyr;&mG0hNLTqMv~VAQ@1|ER9j(15KzqTMFJ~)(@nF+YNfGf%##zg`E6jBYsT*Pe zJ9Q6L@EZeNi_ko%;P+0vsG8g)o3<(7hp}qT8`J;dp_U*k3&g9?-Pa+nI? zNS0$x=HV@2Hn557?O2!T6}$TBm`sRqrwT~4g>0en=cZ6qy)gnQ)k~__Po|Owa9CkM zDvgp8s(^kzKDIu;I4eEIt?7bKuteU7RdOc_3Dkb;q_F0dNXJA~roaok$#XVC*su*& z6XI6zBA|Qfn$2ei2`V=1P)$4y|6}d0W9o?hKj9ZBP~3`Jad)>%k>XBqcZcFGE$;5_ zP~6?!-R0sA7njQgw)@>|^4rZmPd0g;zh)+xOeSZNlgT-s&+Dyq*RAtXjngwJ!Q8Mr zVSC1&**S?Gt=JH6#Vt5hQnGf?7^dI)^~gc*_AWp2f*jf}e2BTu*&*r9*&#?h*F>v^ zr>mN(YAn%zY7(ird+eJ;YnveYeL9u-pe={VQ5>J~@D!c%@V#8dirl5)JeZfQxri^V z(HzsHTP288(rJXftB=i}BX@no{BgpV-QerNTC7vzGKeC*&PT8kAjnoX)5F3cjQ5Hk zDHvi~x1GNozwJ?D(r8g2sVi61N!SLCK_yuNhiF}Ra(f)CV%S**DQoDgn5L4PM!8yd z>rr)txSvXMqvP{H`^5em$6gtV*c#T9Yeb5{iD>9|x3wkqOP};F_v`~B{>t?+&L(6A zLA8UHJ0p6A_T<<{E8Z5IT>v7u;z1bdKp#$B=AmX<^d8&Au4Qb@H==Z=A{%jT0&dPa5BE-uR`9I74 z|5c3t>G|uQ-~Zon{QoQ2|KF|uH-!H`i2px?KN~L>`#-dwgY*9r;r|~$|9>I;|AXHD z?}Yz9@t*aYgRwOVqM#tl|KEiFe~kzD7bDYAxh? zwpe~96&1$V7-#B~<^N*l_of(|6_X|q-dKV^#o^z=z~aY|6N^KvV3gpmj>eV3l7g+cuQ21S7fmsIIBTgk*SB7dHO&lYq zigX9Wn+%{aw!*;6#qmme@4HRU)m~$BT0one0MARQoOn072)NGLB}wgDGC8Yow^<5C znCqLIDxG6;sd=Z=DRLNk%{*nfhq1oy?k^M0j=tZw;wMfLE`fVPWnaLI z$QR)ZX|qJ^3ik)*qqfWwfGJ)B-(h6ri++sOB>XMc_LmS==&~%XAHPpIbkvsbNdKE# zGgIO+UUaCE|6cMAb*KWfC5cw35L~H;FM{tvrl)wGrtyxHV_*16H)*Rbd? zg&;B^*Tiaa#%XTfK}O%Io72EA8l2Y@U3MwBE=3kK%DgVGnD6i&`nWK{7++k=vHG() z!Tgj2cp44ga%P@%e4)VqYkEYCd?u^B3Nz?AV*E&9ex zcM_vY38##8%CZcaRP3n5M8%;+l9O4OQ~qtlZOkd$0-{|64xpRQ$L2Zy8u&o-&?Dw% z9PiJ(lqXpe{8R9|;)2cSo1IrI;NUh80$&$?A(-Sur!&4>E4k`?0lIK*Oc?Buvx?(H zE}o8O77=DjuuopjyYG5#QS_4ZQUr^F6}ZRJorFEBePW3cHV%AmX(GhN)WEd9$;#v6 zKSoBAG8A~Cx$M~NID~BYE7kulESf)X{HvE-g{;Kr6N5tO1R01BTSVQa;)*Kfu-q5A z;$BBs;aKt|KSBsVr4)F%xRoDstcUv7(2tKddWHHMv-}? zC(&|k0tWf`64}UNs`==7ljjy$FJ&)PFIoPejE+ObHl-_u*d&_q#DmDWkcoqrTjTo+ zYtM>K4L|w!pYL*jAZ2HU({aH=YqPG~yxX)}_l5Kl;4ZMMq*VU0q$e{bGo~UTqq5}h z&>8F*&V!iz64=Uho&D1FQpcG?)~rj(+5gyQMDo@cwM15@SlkRsASjHpM2SdSKgbLV>5vtGc|27TjEoSDR)e`ctliwEmx04?k{1X%kc{H)^ z=+VY;GxZbLEeRXv=&fQKGg0zKie#+*xRlCWm^23iLOKnkZB~OXRMv-4>waGlEax)i ztx%;3rZAPryy;6D;czDqQ!fTotCh@o>gn9vp;hF$pBg^f4^=~;z~#})#^R(UXsco_ zQR(+A`CF!zg>V$`PFWG|HOSm6v#M9{;C$Tw20^jV4V>kviOMkK!)w~U z**M;~bf0z_TcWYpeR4U}2!;7ZRuA!EWzy*ICiM+IFR{OGSq2nIGO9%IX{*ON1F!i?@&?!+y2qsvWc;h#Q z#~=DUtbgMNH%7Y^2CSY7C))1o5^2l63yo@I}yhC>|ZN1&M8JO-7w>bcR6*p=t zSu8rHH4qZosb% zb;QlgQB^+nJMoXp35{kxOKa79JMHC0y5r^01G-TY587_@LsX=;8o&Ht;qvvSeWv^jD>x zpafZVD_&}1M0e>71>8l`bE?-kfI)h?N?hkS95}W8VB~cya6_4JSGvxYEi~Z~T`wW} zP4xZltxVb%XPq;^U!L^)#0+VG=`$zmuiz3Rar00{vCI?!xLc2DQuagMddNf%h1B=u zd=|x+bmPG?z8FxLuoK_uSFX-jKqw-TSeKV0#$1T8obpH?x$bty$t`!efqKIL+MlaJ z{`tK zsh75XKaeFGhh;0lBnE?|h>FHf=c96IJ7Kn{F_vsSBCp%M={4U$K$~|sVN(y`n#fF1 zv|7Mbn7S3HPXQ&7B$4QD3wF}+=h=a)vFY+Nl2I%N8M)E>>F45zwQNsMh((%RE(XEb zsJ0d$6xZ-6wQ0BM$wh-^ z984(pCg*r1j$5STc_oYTbd{CRQ;uHjWDew6Gqgpr_%K^o6ZnBrcEg15{tc0N3(}oY zKCSb3{MFqRykUIHvzeLHE=C@WoD=s1d}Y*lnWRs7jOk2KjHpf-xyI?Mn(RJ_jntM+ zJ~kUW8Zuv{trC};hlPLTm(Dsi-YAX4i^YijR68tl`T59X6wSz>LGMm^e{Mi6Egi1V zBAf9(36?)r)ZFa0J+eB~+MUa-cR=koO@0){e)oRP^4}ueu7@I2(ofAVlT}(YGo4MO zIn=U{;izgcxo94=#%Tz=&RyuU2}W@!QjbmV;j*i}0rRrpjh{Q0?e~r?N#il5!c(?Q z9?HoqQhGkG8o{VqFnWU@;X@YzL?m1P;{5<=Pb`n<4DXV>Z=pT@*Z|CT>9m4XQjAx0 z^#VD92ZWMQn6$sBN|h;>|K#1aNe6m1>5|JMjplIuvWxGIlI3SgOZnYY2sijQ$R6>Y z1G&Zn7RUF`Ef9hEX*zIe$=&R(Sj;pUJ~cR`s}sEE9>6pA zfDUoF^}5w$(p{dvb>IusMy}Zr&LA!6)<54}*IgeQR7Xcm5Rfl?eMTWVD1_~+_fhSB zaCveuYoA)2(Ay>1wf;5blup0-rjk-r$x{{0_Oj=UCyg+j#T&Yo*`jAc03wi;3us|T zt7Q#*;gDf3it;GUg~VWn9#|%-H-caPK_7w@g}027`$D+;bGF!}K zn)Dy3$$fhhGOuVU<1lS)o^4t-MU6C>)pj;#TmHjZEAm}E`&=m>+oa&wG+iyvxz)bd zOt<1A0O9++L##o|DP&zAaJaUAST~I@W48q!M7FRMSFj%-IbvNW5Uco8nl2wFl{;*M4xQa)*>(}#=}XxXh~fSbX%*|gw&qMwrb|dH&@$X25NdP z$B$DaXIDkk(AsAqF?A=(d3nof2P1$RsI=mU5wL@UgHfa?Gl`Nd{k41~R6>4lB>mlo zFMcETYnyC5552wu4LJAUJ{Ux2r{g}fNaq;JMP=DcN5^BQkBzcgN)zMNg5gmSHP`Ro zs^CzzbJyYPo>iF4&m~h>sv?Z*w6ThQ4jJ8OH58E#Inae@rxnY!=o)s3wyU%O>zBZ- zW~mQlhiOYq%35Y?mT!@VKhA}VT9SB}9CU<}0jhvzANwW)qqcdk>_(Ng>H7J0nfWu5 z5?NeY4yK06R9OwWb=GoqeDm_A923BZio=rN^4@9yrxJoDoK}S~msUO9-yvi9gaquC z9~=2j!36ix5w=Zfwi)Vwhu3AoP2rO)ve!t$b`1)W>)0Lx`0z4u|6PQ&bDZp;v63DC5_7zFfyn_EosxsdL+d~ z+p1EInKx-OW~|{(7;mI?C1*Z%6hZmm>CkY&u?Adcpm{!D7t(*98Z|rO7vM9 zU-*oXMZd;kllgINL}#ZAKL()%1Cji{`T-lyTP5EwG^6WJN?(ZL$~o>8lN|B{B3HY2 ztOWKq=5t0rWaFV>(?7$eAJAplXXN;&dlkRcLt5+{%-0Ai_f1ADxJ{?(r+!UOrezB^ zoJ2Gd&M%v9AFPM*E7t`aZP?Zn>2eoOwX)BTW>L?)~v+o$S61WtkUmbP@R~pU&lVm z*+KAn`^`tfJ<>2o;pry5KnH7LBwKx5T${8V&K_;(^GkyI6^4rO%dsYw&JcHB+fvLW zs3*zLDH!Ixal4B#r^bcH86ImB%DpNjHFI+$wPg(BPxD_hgCWVI)|RJ=;mDliw%w!{9ZHYKBSYhQCWm6GpwSTFl03W74zF34Qz0 zwYqnZ@7u>~5xfPetVJBWX`T5~$33{lP)UNC%-5QUJ)ImvVUvFpYcx z(O5`;H4yr&k*0&xll*InYbAz}nV?tH`?zZH^z{08muJnpydqVfi=UN!Xw70asDi}q zeId$0zkWujN3elBPE~NrSN}^%i(DSAD3uTh}XO2KRS2}q*C+G40 zuVc9?3H5ZvLO-O9FqiQi!dtc&yxY_jjuI=+WtzS1LwmvQGdcLKkBN+gNnfTdg)`z1Hy2I&l)lE*Kz<>b9 zQ^=-HXA8B1!gl(t&n*%iw^|cT+s_&XMJ6fhYkjhOJusN?MIk5=tMqeM7#glYs!;S4*J?>Xe{Ri)OG$%1>D|guxpf_ zHQ@iL$-0bPOBIV)`yFfep#*bSQ%hvnl;^c~N}`RX}q5e=X8#XV97YYS}5W^E@F-j5R7^gO-o#@ODnvE z8UoWI-swt9rKSg5?l_OkAp()H*EkC zyPAI8>v`e8!t;HCELM_za{-Hv>Kom|F1_}xN8Awu!H-{^r+j53oTPHRY4?E{tlx~j z&*M*X=yK)2ZP;dV&#nQNfD{Hs?d101ry;Fo+f38&dIH&st&DY|5~|QyfGauV!IU-6 zC?272mbyN@-AM0t4B$z8QZW(A;&1cHYxGiQ%$5|eH4yvg8_g7vA-8wK89Z3n#H<1{ zO0UFo3cWEqC;J3k6270K(YM1e!5EoDoCP{_r5F^tqiQ|x)XZ+1fKXO?-vNWc9AWE6 zE+pp4%=ke}q}Wa;RtT&!vDc%?>BM~9zPf|&*|fBC#K$vZ=NzK?aTa{rW|SCEp6$R~ zt+u5>#IBZ`mtwviwcsXjXg;f(RgZuc-WDbidYj|GsF@=n#B)W5QCC4*j!oCv@S9bg zBOYQ!$G7F2iPoaQA?4dCT{rg0?MCqUG|sdTK|CHagQ)hPy$N$F_N%RHvuiU4Ig>R7 zQShMzOtx9EeHxzLk00rn@8w=2gERxe!MS!?gPp|Fdqx_HTJ0W3ja2Rm*1gIR_|=9W zf-%QV&+~L#;a?w#PqyOT6?5ByqcY^h^{;LTFn2}NCB|KcsIS^1I17QxTPa(Q&87`} z@zHS#8a9=M^DV2nO1V;g+u%Q!^5;6uq>Z4<$HmsgqHiwZDy-Z9^wfKo`E5!*X(=(U zNgcLta^%q8|O3n1*Hwbyc!=lFjbvuo&8_0-{3Yf8h4>lz1GE z<6)L^E?f#Sb&~N)L*3ooq9pJudFjZ-hfWh0j*A@RH0Jl&Cq=w=Z^Lh$ztpc~ zT3Y!KnzSnef7J39A|8>=lkV_0Dt{#<6lWQ_6*cj^S)FaK@W|*7*^?==NMlQ0^$&l* zT!+?C;+lx1N|@js!#94A3)953KgGMd7iblN3_Ubx?b(E;sa}2=k1Rn>Popv5+ua4HqrX;m=Nh1of%sb+to5cO%XYP{EmvsnjDto5u2iES=%*o>#W6w%u-2YsAYSvqS_KX)q%I8jMWsAOodmetC2u(Zj_8R?MDxF(z}hQOX0 z`WXT)*H(u$-30IV3REpuAwwn&9~WhKdav6zG>7rVSD}&&^8F#S<`(d!`ti+_@=og) zQ@#$hEEg5eS}93XskQ9oa;WwB^Gcp7x?;v+(?Nd_@ctt0`-Odw_3@4B9}y%OsDBPz zSIZQxJgKc3us_gaSuzY`_P$FXZf=IOyjJjw#&Pgb;nX)X}PS`+-!PFaHB9Sl#Ud{%J z_Q5G^F9v(Jy^E}LSPn!BTrmgb!i@tbNsV`>GFGvGt;5<+Mh`DW^puo2vt}A~*3R1R zL6NV=l&i-rJ)ToPhjaYw$rj*vK;3+hsnC%cn+(r|d98(-iZQ~tq@3NeOxNv@P|}_k z6r6zs(zeUzQ*SptrM9~g&C~YKtM=n7c>2_E^DBOuFZqsL^{WP?ZaXhIJbZ*Lb z-$#lDOX^fQoxicU*bc^WGE!0Q)T7~;k^9*h)HbVCG}Kyp;%v*h4OAyHsJ1PvTm6hD z=E&g;90IlD4Pz8s-l*P1HG-33;cd*-;6IL19dzNu3dYjz8fGY7`rdj33~H^VFOpW8Lw_34X#Ci@`l(gq|CVS{q1cqf4Mkl9>~RrZ^3TQ4vk3*O z{&-en?9-H0?d$&&4f|AqW}RslTM@e<3j2!qh&!kv z;`ncgS5WU-^yl^Xlma#+wvGP*uIn(MI$fa+qASK}OgS3tvqw1{8eUtC*P&^F7wi!tH z*Jhk^gJVN2eQw(KtR1hr^o3f*jW|?EAzWz02&Iy?VEmGiwwcNOW*rv{H2?q-X zM$Wj6851idEk@4Iu3y{4$?lpRyK(ihdD_#)Bs9N6F{h=V)Q{UWxS?#pZGzXTAVQ)4 zUX7qX*F3fw&r^$R5ZJiaG%O(TQgk0$idLqxiTOy^<__R?S>6LmLi$V$Q@4IMuNw^k zNr-OnUl$?1{ZG>4ck>q1W2ao6Oe%pUqWzyW0*~FSvfHP9I;y5_L+*u3+?gC!0bIU+ z{mk73E&a5>6=0e>1KSeMBeOH(1|pUN*5N4uI^{V`hQ@=Eq@%BNRNR3I>>U)DsbOpc zOJ^x-G5EDVEWE!CtML;NLeUUNNQbYsnjRmy%mGbO+&5pikb(>#w<*_86Y2h!L%2Y< zjUn8cWOfa9SI_5{nr_q&tGe#PD<&Q8tu`|x2T;WIkMT>(8W^`H;ywTjrUa$bbbzkW zzsSfULod3C=F<`f)q=e7baFml>3D;nxeyrE}(5)@ln0mK^iKAo$T+V*a&lJzY05Yo%}cZ#hH1KyRBfVGmfWqgE& zt%fd+`B^B&9FXv>6NLa{w^u`N_+_eK{l{A(X>Lo_*d?xQnW@wB^}~WWqmH22IMZ$< z<;tl^s{P<0rg3+8;uXI-g|HiN*jsFaXGeu<@RQT4E2`nf}KjTU6OqNzwX*TX!!xgRH~(n*nJ_{@Zz-s%>-Ot9{%F7 zY1rw@X&eQ<2Gcec~M?+s-x(d^)VF+xT8(xM6hMyQ)O6q_*E}a4Q+JTfbL(E zwvpwKamUrx0d4+I^#Rf(@gZS#<--l~KKf`~zcf_`_)2rRE#>MGykCO{#(U0HySN}+ z>zaS~F256cOV7YZp~Su9`tnzJUGxO418SjwR?< zk5`vhJGK@9(#cJ$g})7d)&`s`%oQf|_H+|G#Ex9oQr_DJmNL>fE(-Uo*+Y88GXM2$ z0M&E)-r^6}GOW3Vbl3$S1$E5L%~Mjc@fq6}-OTpXq*HMx&zm@{9Bcm79-TjE9+_x8 z249#z$;^QD(H;=7%de0%SSc8G5KF+&0NmG4M?UF%h0MoCM07MK(ntrC&Khd7(M_e* zVaZ?X_Q=(fa+INO=m%1^HFL>J-PA<_agbszJA*ZvZ_O%$QR$*H{XvO^9rMDVj8FN$yIz%gQ)OlqBUEwn+agtk{*T9*ZrPH6PI@W@viL7>C!G^^lB{MNj#55Ws{lE8~TvbJ%Sn&Zo} zsIbpVP*;D7WyMY`6ZaL;hmWLO@M;SCwTXO~N0ZUCN#9K1tPyZXO;n?lyC-94D}ah| z&GY7^=R7wtjguX6UGxI-flQyj?1J`bR%YmKN{{L-2+m@C9^Bv=n29(5!cD8WNq7bV z9{u1$Z+q=I_iOZA1_mKI*J1o7p3Pf&dog2@m;0HbrILH+u(2Kk67y-EakzjK$L-nsiGMM9sO=S#GBI(ft7SG*J$UED!(+t#jin2qOP7)} z3nbL+%7i(GzF9_OCzZ9JS%zI0>>{}nVZ3TsYMtm&3Vm{>@19)k;bym0$NEvzt~P){ zlB=e#o`c1rw?&een&BQ|aYj1b*-k#}blJqHHMT`c(o)9Cb(pPhRv7x!6@9t&vHrGe zc9Kh&Z!x?V1^nR-w{<)?6J=nN!1Pz6Dv5sIrg;5XkxKr;W-l2JS1S>-j%(yykcSRo z67P#s$6>s`jZ`wkj1;^>SXR40Hu9GiP92H2~+W!9KYa>*N7S-R{E9wIaQ;oHR-qNG-5YJb(s_bzscy|9rA)7 z326})vanB)a6;uTzwtU0vkV#BS_IaNs_J9v$CtUwDe(%Wu-SgC#i*DP{YvRo(!+~t zNXD|afJ>k3Y!()4?$m8X6tW<;9@Jhs5%PY%H_8pGtzBq~zp6AW`;)dAtXcmoH$)R? zM%mg%2!N7IPz)KV>%@g?U(y_rWu%DOK7YQx*{$C0D!!M>9qxx`hx5(og^uOBlV|*W zE*^^l;KU`eBKS~wNgWI34R86^C^IxHT{klL7d0I7ClwUUDElNTS3UxgDB(toLU;r2 z$WfwjIb}JW^iif!KASU)aqNYhJQ`)bViF?X$qn2yoju_Mk~SqqzuqSjr@d}R)+U2q zUTnDoU-I^YN>r9^PoPVf0v+QZTj>552!1F*B!y6*UpTHe?p00MY;9A>7lOA1O+qKb zcGN)^J7uCq+0Y&t;Z8BPTBv7EJY4u+UoB&6WPVY4+#KHj+`WGJ#Q>ev{EcX=f{cs; zYO8W+yf)!BNR}Tbr%)!W zI$LKlQhlV~k_e(7sZoojE${DDw@x%DF+Wssg67gD;7ZFW4Zl$3#|1He4jH#f`YR~6 zAMZ!fpZaH;UhRZfN*JmYmlkFk+Ud7+FCW}6^wN$e#%hEh^_|b>w=m{e{rm+b94MRL zbiD)%pW9JD_8JV9^s$m!4DpgUIV%+J%&rVo!*~3h4m(z`hY+k2bt4G3%#0x(nU}raPO%C8_#xc6r303Gjsp(5g556R z+49TG@k+gP=6ZhW$3kLcKD8^)VGl5!!WNC+$n0_YU}i}Q*Y#@KYFIA z|0G2?sHa*a$Ce?RT9;U;iY3dZ+#2gH(%tV#aKGK8ah;Z70b0vw)(P zh)N1ZUgWH%Q8hV1T;yIAb#J7i6sfBN@n79%cOD^|K98kz5*2BXy|~tVrY7m99N`l! zy@M0V^mAreWrF0DtE36!m5w}WC6=QtW<#FqnBV@;q@pB}pGxnVexF;^0lrJZ@DSX# z*Qx>xiUdR)BE~Iv_}+YZUKZOY%-qLUaH@er>wi2W>g}VNbI&EK_giBQykcs6=kB+x zaNjrb1m4N+uNgS!(pF%hEDjc$BXep03BjQKVFQ9e{6}jSFnCC=ar8>)%fTg z-YIos-J!mPLvZMHPRI|%w1eA6#x^K!#x?mf&&3a&1Sv@PvwLFWHNu}vk{nK*ia*Wh zeCJUTRKR1_$UPJUGmu{ujtY=iWJtA$T}33Dx%61UFWuGcUB-<+q&}~jOw(lI&g-$J zf(uF9X-8&{+#2JbL6ZwEbf9!xrY*S|Ssnl{FkLh+(K#`zTrFGqCmfXHq7zHZ|R zDn7UxImDeZ6#=DI(yClS`Ov_p0y4{avf^3-Cq&Ll_Z{TR=QV7?-2qY2rNt%UZ<1sm zXy@%d$j&E7lPstq?$QTbz9NLIsVNcf;j`F2@1q7vn5h_zu{8_a*Nmzpd9sUkjVgAb zn_a(~c2J@=a4jc-IX}%*B-?=%r38pP(OC#@3WI(7SIYCcV`p%yvIVu#4=GS;0&72% zsv&G=-V98^%;ntvB2VR;)9)<+@Bqd*OU9|c7|BY&<4ATdt`ZW$Ow_+g$(@l!cBl`s)LXAfKEEq$P9)n(yh74VARs}kEkXv?05_~% zqVL?tA(emsvEGO2;P*-@(DX{hK!0W0i@+693=Vg@_35LThoGqy=5E6}k#h=ax5qa_ zWfr*`hPEvDG^>w@kSw_jgjE}v0dx=-p(5CGui!3G{xRxszi4XVpHo#7RwzJjv+CU} zJ8|z{wqPTk&^{?bfh2*huq?-^dmW-L;=m;?Tl!)|)bcO<#Lrsb4!S(u@H)CVcgNAx zfkLD*UY&)>pWl4Lo)LD=akvi`F2{+Ah{bNp&+c`4OC3`EklpM|Tm-*6LcC?J*`id0 zOVbRxUceB4)t(PHJfKIO`27d<8HMQyhYFQEaN=>+)3(PC8LWF47DT6Yf4sHBF^q5{ z`@^T@Cb05;-*;Q6+q?C(HHSXR$HM)`Pt<~08M@K@&e;3!Ppr#<-$KXDkWWai7@t;H zW1vq;3CxJj`pqhJG+mY0LKma1`8z*?Zh83!_R9hSOAo&T%^mY<+#RJzRp3Ge;Xt2? zh$rWY4bDA##xJupt|M>4$335JplZZkIQR}=YSTxQo!r-5r`>W}w)P9!4^rn{G6{YC znPxtOp`4GYc06}jJ`^W@XL0G zR(vCe2=5ABm7;jO6SAVt_(SW#fQjb^kVr#1{=&G^#;`!6eA9*hP7?D$yxaF_`E4KO zci^WpPa^Y4N8Tm>cEZ-I4T_#xv^QXJPyNTUyVW3kfB?(pk+^RMi0s{tfOtlA_R2f@ zd!SH|Mjx4TTkW$UCsrDb+ z*^JRi4sT@*u&-Hnh%7}D)4u_F)?j!Y8$SD$bED879*o#;r8&Vk$Hnwl$G^lxv99FJ zA@pN`>$XQzRT7`q%I*?Vc;Nff(-0gxUwh5a2c1Hb+sBH{OHJjR-pc96#xIxb*najj z8NSAEXT*>;z4a|rUbW|+Zdd*USN85M2%w$k=qK{cE#~l$j(rP|KeIQ6H#PnpQ-uB9 zl`!nlDY{wAyPHe5#QCf*iTgAUMJ02dQSUXanGP+fSJ5X;1jCxY7Qc;A7Hf{qGhcX< zGf4AQg6iJSHh$CRk<~w*N?))&x4eKv=zN6w2_Q6rc3r(npZFlIFpAa8aW~y*F2sQrfwX=kudB^J zqDF&WLOH7?>zV@XGeMFc@A0W5_AuWP<+H(jvNtRU(UJNND>zBg5?UCk!M>Oa=ed8% zGS& zHfeJ`X~E`l2ezZv4Id?Tfj(fEuHO{BG(8ufMFTcS4pKCipZReHa7)pj=izs*y=kc; zj!pAeh);kese6rVBjdg7NX?q4^2Xq`@{M!zk70b>Pg0zFtv%;*qkaFl5amq^b8YCJ zH75cXgVS7rgewvqd-hqzoPt&*eQ+tz9 zuX`TEEl``FvIV^RB^sK5wk3o&tSmA{T=Zi9AE6 z!Z2M0)VBLnoN`o8Z!zRTJ`03E4@T@3YjjVtqqHSw)x zgG?Y&$sXlp(X|)jw(PJLEwylKpsyn=MaDpt4!#2c-~u~S_9ayA+NO^^^oq>yabhMY z+PTTIm!L5;*UoohA-plZK{rO9XkI7mIv`{E7;O9L>-f_ZzVfSmzPCvM^tiW!5e6bFg&MX{v_&vU zcX$is<<_Qz<_?oQIGSwrU?zQZH7tBT{?wZA*92HG!`jb+cWRy;aP9qyj12RvPjFuM zPW6kX_bnLCL(5Agh(YVGe7)=YmA@nxRcO0!gZuHb$JW5=>-iHN zw`%5G>XXFyWiLQEG*$#;*JomZ2&xuicFcP2ND!4UK%GyzgFBd>6~AP{bM?=D+3d{}3n1{1#d_n;E(5Es4LU z3+H*~nz})Bd(N35wn_kz3(NI15vt7zX?Xu#wt(>r;8BTqDYF1oTF|I=J7JZTk8dEWP@Sb!M=ps`~ld+yo z?_*Q8Jl#H6fyWV!$Mu1}d?PoaJ95evi@2O5oK#*8-|xpFR}=R;WwZEv!=9op{u17u z@gCaXtl}!pszi#PH;oyC08(pGznPz4z3%R49@Mf@^(3X|x;nt9;0Jd+u|OxF)FNp> zL!&?vZXE9P;$U0KF4{@aoZz!3;dJM-Uig)p{9?>mqXROjhy}ic_rHf*q&_084{e7% zfBnTgGBfay>9;S0OndW{=4C%V)y(>@xYJCZ=a&}TA3vcX z=jzgMUEE$h{Zg3KF)ZzJSgotW=pnz-x$fZW`8_S53p@js0o{sc<3IH*+{r2}#4{7S zYm+SX%+(S|l((8(6Dm2t0jD$#e84>BmHITaR8Dx_%6>HbH79S>v zJOt&iSDO_W;Ph`sU`ukAn|0tTcq6$SvFyqFw?l9fvCOC49S{1re8+J2!Pe-O(1D{>;cAOC*p zAZRPfa5-F8jK0HWvLVR7@`zw)OFM|J1=xT&UnH-9y*;<#?Wa6Uyg$}RFCD8`}C z{BmCxf_n61_>xUd0|D$Gux1Co-C7U9W#5;)BfyVq+Xet%eCJwW5H=M3QJ)hXB@;%3 z7qOj4WsZCAdPn)ofv)|er3RQ#A6S8c@8VtjAgO-LVg1zEW;#3&uTf8>rr)w_D&-f>)j^j*w(6b^FcEx8EY zZ5|_Ex&CDgK&nCTy*xW_nB2$DSnf6+B%34$Zt+pxJk%#&H|gkLrMl5vd_G4YgW)gc zSbj%?0Xbsd`S&T7$Fi<+4jFg-4NQ^dW| z!raWuO0}dx&+~*4LTUbvhx5n~s=aycAS2f+4_Xj>k$b*VjXKWvctY)EnZJd!8tfA8 z>v2xSVOcfWlnuAXSQo*I)D#h@a`|Mz@8vSC8nGXAZsDSRP}M`sJnpsZxMp&s``V ztsd%6%A`ma&Yd*-({*|C-0#k6jktU_e0`vKj*I3mHxP;|MU(0um%3F5PjH~$OrB`+ z>@FBBP0!kxGb^rw8eejipitPZlzxX8&7ArGqJr&D?z^1EL5+@U?6xBICV(gb$yVp! z_MJ*mK=eF{e%A5%wd&bjG(ZsMWqa!oa*7c1Q8Uxho~!t_?m1U}WuA3bJv`I@v70XQ z)InIQHdS=>C!BHR1|Bz!dkQI@X=!qjw81p&W?Dy}`!7`}O$7GN;sFQD}pJ=~*u&JP(a`>rZ zbyGpt@obE)Q0EJ@RTCLKlik=tNP=s8A=}`uMHPVLF4NUiWx~Y$qU-Vt(IU?}hV~wi z;J`}&@FBG8TJY*60@#W7%Divis7?LIAPO>MRBe9-!i3E5eH*sH9}+7qg4h}a+z|nq z=Y)j7r!2!;3ABfPHDkm4Q?ECw`3(465$t*U$Ze{@dIL}HKA>7ICmf@Tw*v3BQT=_D z%^9Ll-v!bgj#X(3!t*y0zis0kKUBxi&rcmgdge-gI3X@n5?$M$ej)L9qIsN~x<_*zla_Jo>yx6->!=}q1}t&8Dz_9*zsLpZNxke z&#?C@&9Z)4WTooT`xvQudc7-*LV3x);6C=w>~F2)@qB_k0WO^ACSqAYyEZCphc=v3 zgs~L3S_8J%0yyIQs7^Fz3q78^FD$yGo?x&1fzbYQmM59sMo4zl9JhDn{=rktSXPmi z0al;a{V6=UOKeq%F6{L~4WMhVY7}v$T*3d1xpxZEENI(w%Wv6smu=hZvTfV8%`V$U zmu=g&tGaAY_k1%k|HR(0V-DtEM`YZ0WJau&nMW&EWj!i#eld?%fUnbc zF~@7?-=frr<8r2p9(EeG5Z!o+kVi1S1@MOTj%m*tc!zWwk~=T0L3D`*If*1+N_y5o z?L_DZd^S^awo3xuUeWonjt&0SjbhGD0GRupb8rLw;AFbun;TAWrn5^jpICa6n`2ai z*r!Qe$^0IMFsdywA{f00aolC*Ns4Q+fS=Eba>eq%W@?mb{8c)@&1YL+`|*jhd*b2_ zbvhg?k-#Ry(U)Lb*c{r*$(AogZG6wSQ_u3`E6`;SGf-cBvRRBWpm{i0V8sC3JcL~c!#@kwh^apIpYvlwilu{y;o=Ush72NXl4PvAnm6sSM48~t?xP+S8j%~Dz$ob zV{+Xq&M9BN@BF{iOc<;eydKpWvsY7nL%b9<@@%Q%JouxNw(XJL4mp-ZvjUK;A=>M_ zc)z#2zQ1idUU>x#lo}&DXhg>gIrR2=9woy+=%5`i)XioYUI5;8K8jOqhiV9kYF&x8 zO7ul&>v2V8fX7@B%@@SU>wR2(I1gH~7lq+|*}W5l1ZH@LmV}MP{g%O2j35`=;zZaD z4y21)ZWi==&K;J#dpC7x>)4K;ZoQ$$ZZNIIa>fOS<@aZHNhi+Jb(D@i%m-f9lY?G`89(+!EG_y9HTuMjVk@6c86VMwX z=o=q#HB|BGFz3zU<#(}5ulknjJ1@$wjUPrcJJfIQghy0WL8Tzq1VyR$c>rmO1RWWl z_>rT{5ra1{t3iSQI|tV{C>hilJ40P=y?Y?!Qv zZu2f2cBmb{jq%?reG3O4%!gYKT z=*WCnfyC^kvG@aQEsP%c!>isG8hKqFL1Fl!<;1;pS5YtE#?nHvXx)GoW&4d1+ zZ7&nb%0l-n|I$z8T8dT}H_G(EY|sNcX94l!2~lOr&)|31q`vQ<8;xq!tKM{ez!m#l z4;C)tp=@cpY!BMi&8=qya}vlyA4FtWx3uy$ z=#$X$(<$grd>(%Oh&I7PU8&YE^H)uk+mV%GA^?RZj2k#l9$%F#G zl~gLPdO8Qp`;PaFN4pQYOOhSp<&3W0x$vH%11FyE=^OG9P)#trm{udj;p_C>He?Gz zLp+@5o#4sjxP=~?sNIIpmbadlU0=le4|*WCbXjmHx0JfXq`(fe9&ofXUnuk}8OUC( z*IfVa+CrN;CnJN)p}iUpo@H0^`0&m|jym@6{v&e70lTAsneiy5r264f|B{*?cU{tl z;(SQ7eAW6)UGerGnI-W)}llac&cMR9kt3|Iix6( zOKjNWb|>FOj#9+AA7vkq^KXaZnoBG@{gxdc3^st?a6A4wsCY^W{>_Rt=^&fDX%Rs! z?T}*bM^_$L8kF0vm1Me2V!OgI<*gc;umn^ z5%Al1xPpA;yCyB0?uSnxwP-hjw!@1n5KO>juWql1(@huBEHIYb^Zr&B$=LJwb0dd$ ztYxJ)J1r2&D}1C;wD+iwPNnd})Z21v@VtJLaCgi(P=wH(#v;7Ls4MHLYEtlF6K&Uf zBwdwF({Kt`ye~La7YLe001^9MW`sTB?wML6S*!cgO4HyFO!rPpG8hp;HN2b!p68yF z;hpoV;dp=iG(ZNxOOTB4sO*8gCdwOB?GQU3LQxAnR(VK5)q7#NCR&^~aA+)rGacluFWor0;`Q%oCMG+%mnVG@I!VEIF_ z&BsA*Of|YnrO{Zsa!9wmVx25bn0X{$%e#zNnEw7c&)WfpsUkKtY@+sft$8NYeoNd!cO0$~QVV^rrqFq1GCJD6OQU-Tk za)r~8Hx)3+!z~C8Euv5luG|EpS)XIJSMsL|19Q0%V3x z;-*_o2|H=-a_9%z;L?CAFj~;9rNrC7S{X|#aKSD1##Nop`uSW<=%^TgUhM6zEF*qa z&HOp;nZ_BGlqmW)&=iD^m}TkD*-}B?yvuo$2awZ)SK@pyBTt|3a!VlS!e{SDhr7DY51m(WeAy$XO>uD*X_zZg&``%mC;T>^-|gTemQ{@Mtcy*0=`LB`GOt%v$2lJ@rbTVSy=fd~8;M_`iwry&u+)SvLGYpefHFJ_;lY?&x@ppNCWnXyb5d$fe!o`pqR%V z5BegaOLzHb$h%kJ2uVM!e>SfLr+dm;N78hCnd11c_$XY zvzExdq-J9vCy(C}xq5)vW|Oy}0Tc@M?;Uk#k<=&e@FhKmuK_?-|l?_HNK0}##(6hd}HC= zmZavXNmWF7g>-yrLquebMYmU8OdqM<>wp;Xz%|eTz zuVq$kzoY6Ic&E6V*;~om$UBBJ-0n8}Vq>6E60S;j{VBK>9xGOa+ELTH^rSI@YWbz+ z4&2A18~Pi6yN87^(e8WO%N;@9qUeF>!LAlJd3zscrzd6z;AIikq_R$86V4_vG0)WG zOe-VJ!aW7=RJCT>oH1p(RFy``oQ|^b@YyF6xJp(5FRL8lN4>umfEFqGbuFu2k)~0= zOp#2uBGqIRR<|zy{;JHqM8L(xRvDuqE(e?bJG3soA;oe84hoK<%4Xq#G5;&1=|{D# z;wSvDt)+mqgy#CNxwa3^g2&<`<&P8j&Y}yupKFrQ9<@F7`2jpN6O}wF(|xyStOLG# zm18e-Jh!*bK0jfqtMRl5)-#q7sg4jlHHH5sP_F-Sx^R;+yea3c40AYKkvP`C;c`>vo+%Fp%E$|7E za#Tli5o@Dd$9yUPj)O!bO%r*%;eys~Wa}Y$n)KoUR|=xCkkEMUXiq@8L$|z>;lX3o zNmq7D&eos?v&V!W_N2n}LO%BmDoZc*HB+{`r$?$g4z9r(K-Y*M%If{4^;GyL3{yjfD@I;P?i1oyRDT)~IVnI#O?n4RAlU?^| zP7a7^DaG%~;Ml$fPo6aQ{Bsu-`rvgmJ$_x)!4nt_SpXx*Z;JD+D7RE|ul(?(Sv1h4 zUkh)>a6E#oHSLs{?zNxJh9Ot2woANCClDGPM;qVfJ{sn))vSG*n3Lkw&0P@9NVbJ3 zF`8_aP1S4`9%`*udaq~bPJyX*PMK(~<8`4ODx~)KS3d3mFmEU2idf2a?3g_U++u`R z<*}g6O&3g&mgB@3yT7j_|$LlVr&=RMLlzXd%lJW4fJHNr@Zsg<*2-I5f2P(mW zYZ^wduk;G4mPcu=)#hRmexb;ZX3U~hr)XL21P{yOWDJ-VkebeKf?Yo&aTFu*NsTlq zB#4Un|1pnJ(9y!cnwUvg z$j;jMKLATL6ALqQXF~SB@X7x(N+lBqAuTgI8zB?J-$xi3SlRv;rIM3@m5}})5!1xh znUI!=k%^FA*uY-mKRvMi>p{uc#76b+!0Cl0MU+gO3EBP;BL8G+&Eb4^vMqR?=_}b2N zax-%?yJpVZvi{APf=IXrDZ)HzfE3UCLy$=DO4=5obHC3(L{zK1MV(%LE(8oxoLaGB zDaEjI$#Vf6t(u}Kxgvh+`?+gv&D!$){qgzLjG1}IH1K zjjN7@2crIgoG_`mBkB`6Kkt<>`d!>BwTj?R3Lq_ccL?woush=9SJ>vHhcw+ZPRZH@ z;#ZKXt8rUM8)5Dnp+?ZIVEBUt`;{njf^(4`F+LXL?hDTclt$nPbFSpgSh4{*|GV5> zff5vz{E>VDd~xyoD;8(s^|;Q}+*jPK;AIE9ew~YWG_?FZb0W>qtHA>%t6A6wMn7uo z=;3_oImgWui}B50ZS40Xp3s*EzS(DD=%^wMdu}ZEzivt=0cFTntq8pSe8bNfuEcQL z^AM~Ag4Ag0 zvq9$k_~GyuieF>i$s=9kR&C#5fXhhi^V zgI)b`)7rt-v-Hoi+hRRJe#3&=A>_Main1vQ;%&bqLfN0fc5oXJ-`;)Q-^4j0o)JTE z4|4wI+?*=@Pi8-rv@;t~1k{|w%l{uayt*Yn}j zjJy(=$@eoL#}6dmo45h-=H2$c?nBrue1Y>$ge6xRGrVJM@z?76%<6&kReDkRsP6aN zgTFOyr}QEDe1GU+0%f|GBk2vx%zu2&7vGzB$~QXIdGU$<3IwvJ0!1&<&g7`+sC5H4Rf=a-o4Ajf2B2i1qjSm}ReCZPGCfRY|s|lBO z1a0H0N!Nm?UhlOD-Lbuz@s8&oHp|NeKxze#1l#mG^&9LpmPxdXN_<_ulDAX0^Gg*z z3_qki)Hs_R&y0c^VFv3`h`7wYY20Xm9dv;+T!}uAspee=wu0z|j|k384o2NTd&4~( z+PnyUpjy4dybwOjbH7-bH~b3L$b3%>I3!{M_DxM{GX`J#c}1FiXmiI)?tnf$?a>N- zWmprEIZ=IFbJT24dDXHRwyD?Ju<7w);?Ar$ig63YH>Ni^bGUL7cb9vc3&k9~1DP9c z>QLUQ`v>`(4la={nM^9F2>r~~BJLq&6MFSkx)JBnL^<plY$tX`z-J=E!i+NjYX4c2hXh|w)-WB9{LE?N7l z*`EB!H*)qqLVwFAe2#Za*)Go5NhK^DesjL&23!avj_9jp3)(f zEs=iT%qvU?8R`MP7`;994V*bUR!ID7RwvZWi{b~(O-Q*r&{xU?VXQW^I!oF+bvqg@ znV(Rpe=K`J?mp*-w=+h?@Jiv#?1BK=QU5Q)kkh`}gb;6Thdo)5m&+TMrdCX+K%A{m ztyaKL?O2C79M^E>ocQMb?3>XcxGj&LW?D1`5csD5av@rJ=3J8y$~SkGu7#I>`!gs3=5$OLGQa*bM{g9wE+RtzweKzpkN&Js-mOLNkZ%~* zPJ|pm)Ig`l`><=9%{5w!P zh)$qvubMBo-3yW%q)I70DK~Rr+tE!IL7`;28B@q=G`ew09@HtJrxfxm4JDLOR==PB zbr<{;X%cf~TiaR4EP|Ubz4@$`mH+qVbnUL)QIBw@|8WaY&l=8!yoxPT6&lkdnh1~?r0BQk$T-`W669)1KBVutII1%b zZ*DE|Gl)Se@y8s{Tfdtv|TNd-RQ2LbM7C6$@)MXZb2zNO){Zhj@ti3~Snc zBdl9A1DOL;97WOZMEGDBLLlyx<#R(NR?n6xGw?YoQz3?F?J;VPm1#IBqL8!3U}HrN zWl+Bt-Gr~*QY%vUDvakCtIR?^WhDQNzpP&o!I-ZVbQ5uV;#}-5mlplI!S?I#Wq}4$ zmf}{8n`i|}apY}orXww{{v9W11h-i8^>{zl!KS!7`sn$Erzg0%#brsES2ZK&olV#o ze=o_g)8(hRn{%1j2%}PwJsV>kCnf0GAT_bG)Y;nk9y?oaR9XuiRGIXBj3JChddrY} zsOHkyLo1_>KtUV5V-D!p$Ywd4$PvZIeE0jRguQIrMZVYdSbuc5hi=6+v(ocfZr9SO z9B@=CJ_dHT7{My8kYU;BN177a$T;9&2~jigd{P;Qmy#dO>~4^jxx8Q%eR|BH9g0r+ zBIqSQi8VKt@*A_ZbCIPM-5Wo1AsGmL&nLD?8-9}-M!d<12u6}~DhFLaWJ!@Jp`oFQ z79lZ|%nrk>z4ngtsktP$d$(bSfI1UoK>Bc3dYGd*`_YI2USjFsbb!grnF!>JGxlm(>5c@A}=JzA~t(;9^1ueF*EwNzXy)m-X80f z_KnD8>>^_=?WUeCSIfJmA1;I)SANTnvlc|aB+!Tm;dVeva1yJf=de&xc7uJ1hAuj_ zlVH^){@Oh~OEM@6cDNI;6&lIUTH`H z!#+STG(FB&7%MY! zAlsqSVO|!_E{mzlA{Sb9Y`9#e1CoqbliqS$19m0jNmNj|PEknO;seK(jSY%HFs71*)v!D;lIYju*4cV!gWi|>80v0Eb`Q+ul66QGZZqzm^A3E7OYZD ztS0P5i`AZp<2k~07QbEl#}>(^c+_z!;1=je9>F|=(JuoNKjqyp#qcopJ#p8eX0j$D zJM1^64K&ROyb^UA30eeYG?!DTgHjeOJrDw)&j_|>@4?{~GP&qPZoHerurcQN$1U=a zURee)QHJg<#>he%*noHXCD>9lQKe`tWREK*3wAc) z8+ntFIS?6=b$_;~B64GtuA9yTk_C2RF>}L%rwRx*o(p>MNNy9tn#H*ASr^0C3@c$NmM`n#h35d1p}~UtwRanloQ5fkaMQzs zmCKrH>3Y+BTGiG*4m@SjC7g?njTXl$jHcNChRg*Ic?sQ~xh4BJoU%;v5L~#mhF@(1 z2($y%9U?bnZwAqes+gNoU|VbuvWLiK2+d&~2zrPtLDodNGW(8LoIjjKFE1(${-l>a z|7G%|Tq06LhOI*KgwPvl9QhL3EBbcp&J>QC1BZIalWz>`TnnL&R^SGT1`M+t7PiG7 zx{b{Q@v{d`3UkEp4XW-CxzoXrk31{EF*e&<1Cpy5`2$_eOc#RyWgCn?3L!+Ea#dYe z@glMJlnuZJ7z5$Ngmy^)uy*k8KD~o}#gE`aKFM(*B#y*GP2z;`lxVc-6ZY=K@8~It zO~B-L(tB8|MUZ;O^Moh%F1#fHxFd52DCV6IvNrY-N+{|sSy>lK-jmMPQk2YO%gqeJ z&gEQk6)zUsJy(_e>jyNnq+8&8lGw|{-=6Q|T2(WnD<_D@gp~0v^}?x9fK<>xjY*$` z4i9)kRt+FVNkNqrwq_-wS>4Q zpgV3hbx37VaCfCYzq(0NfK)l6KOUDJAD5O?&LegbUsg0p0>PJYBwUR#98Ee%t@@Z=ekb5qxn4^O6?6mwK1hbG| zO8M{lYIWDY*QsCBDhb-1md*j!nvb7Ue?!Z9Zgk?h<>)&jCVdmOa~t z|3T>9CxZc8J|~8Bw=EglqS;pEFos9h+Wk&D*f4k&UZpE8$~}YY1Jy?5DQ0r*t&8w^F4Z6V)IIxs9w=Afkz95ucU}J?vk{n0 zvbi0O%~SpYbGC=T_H~b79A8)yOgQS@Q}~Bm?=`*ryC)B;<)Za5GPvg8;F7b$CwHnn z8SC7T%HKn3MO?{#dUw>D6$P6=6%}~HSo$&%kQ@pbnCi^t_JLPe8IbO?`nePyK8hd+ z1@6dZ90vpHll$;)jjsq+-`*Sh7-skC#ixf$2*U228??(h{Z@=;J4!ZWsR2}y3FY>c&naT-xou04X9pX+GVzgxh z-1m10qDIv1fPFy87JJF-thPOC-o-+a1oduwB;C@hP&pp)66ILMdPpVrtPvYlY=*Gs zq$}sXGTpet=6$Rd#TbT zyyFoL*b&(WOt&_;hZk8DQaB**4T~1$U6InIaesQ&jUpmxn==)^5!Pcb z$qFxPI2;#~$#&H)!QDcn+U+1}6r2Um)-w@g3H)eyp$JC&_*(6x-yit1E_|;mAJ{F@ zo4rV1)I#sP{8!i-Trmo$We&O1I~3zZ7Jiv-e`NdDRsqO~egKEu4M_uAjO3PctCZ%H zRSK(^owr|1KU`z1!+2{kNaLRESGdvb#rIHY@ahY=sGy0Gi(Bi%)=?iva6-z~_PX&$ zUCY*Tgj{cl#cj;1o%_64zY%*=zl=_q!`n>QGKUqN4ASu^NbA?cB3ci-jQ-@{5Zp(! zI0d`;K?fSQs_Oo%I&qNPIo+7WnJ=_)?r+9yT7ABWt=X!D%(ztY>Hn@Gp0BY4S{d*v zSse72S?lDy-w52~-z%=RnSe=<=7ME4W4|eio>1J|M5phAqAr`_WXyw)AQM51jG7`` z4Ak=>yG~86xhSWw^rH0no{z@BqQB5TstkS>r44G7vsZ-ccDX&3(?Q^hv&+rNlE%9t zcWSnDMsQEG-y)P<&GVkq@HcCgQr;_G+pt+?_xI^sp=XcaVelfzm4j!`rLgvKq0W`& z{I6GusCFyAaf5!|;jU4nE2`ivd%!9ZE|U{E5+@W#8=mHnTUAKQQaRzR^T#^jgG@8S zS}5?NztqpwUKWI3cpL1cnIQeCI~{_d?8bZtc>OYb1|a2^*I?yf1&rkn%^OZw zuOQFt3s{|MIySlgGO6|na_?yr^_lv{_t5g*xa;OkvzoVOb%LOj2mrPe>7Ha4kWQib zpdC!9QeVK0=~f}5MXx;rJXk8q46*6%LrzpZZ%j zfy~aGs)zKZETg(BqHgAbVW}i!RYyKlcwx^Sk5;>it$UfOR=d>nnJZu?@Vi*|da~*Hdb`}P>-F}-lA@NqKmm5krTTqK$1Hk>p{IlfbZ)9p+h`DSq?b8OF7PBn` zp}+i2v_h#_m9S71n=$kub7*_#KgmC1gn|s-pAJ@q_|cyJSd$)$(yxs6XB^ke8{40r z1t7e_AgqQXtaeIEE08eP%Mt1$C^}p9gdM;)A(s31_*P^y9I@`th>JVk{Mrz-kH{)P z)?&;xM2X6Ed8XtdSYI$2%H@S8;_6R-;kc1~c!gvL5R^)?6Rxlx z&c{-}a?L3{x4p*@dhHJ`5t}{gbN_DA(+)^Vlg09df;|%@fU)%juwLxxn0DS-`JU8ZR9ZLsb(6 zZ(dyIumM3%Trgcqz(5vjfolReHyKA=A;V^Ds^0%vK(2c z#QJ7sVeKpv$knFMU4&eWvn%;!PI=wNyd2=JT6ENyemz2#dH= z5r=F{9xkTm=~aO9rOOAO29bk+|MGm|pe46dfx0A;-OoPs_o+Sh`)XTw?YC$V4t#3t z{JxLZ2zZ@d%$SL|U14i8eNKmob0@Vv4#GBcMz%i<#TOa?xtknOV%H3oliuTAz!*s{ z);UFWU?13c27Z_ZQf!jovi2UE)=kt;;cMDg5y7t#9_kb=!_|@eKvF8G60s~I#b84y zn!F04uRP(dFF(v|e0U+hm2Nmobrtj+mMg>)K~KdPi*ofJHN`bnv|bfrEn+K$HjS^5 zT@t)v-C`a!pFOQQ-k)ZbR2xL=*WIB8-UZ;?e+O|rzzf7z;tsp%mln9YfBW8%K*xh; zKxvW-+*eKOrtM7*z?$Vy*w7bJ;@D~@EXD(ilQC|Nm7Ak>babRLltb)6hGk=Po z$=TH2I&R{10@!bTdBBtdgfmFV@z|cv`kzhbsK=@_gn_aon-32K*a@+mlg8_0#G7#g zFOdsyXUPc_nN1|!!9|IK841*?T$%M}u1HVyUe1LX_@<-jUSsMTe3 z>M#IHtyT!H23InP;6Bb-0meup=}E}_1JrwXBJg=8^%gfa&7mqpBv=a&a4!AvF$_>d*jFNKe@b!(Sb@Zb zUnZdOuu!x({>I_tYd~P2h;Y5eKpGk)aJJ5DlrYJFUzg)nkqogoW}i?qoB& ztoKOb!&NUXaIcR!avF#V)IB1&1>pVPByLovqTTT;@>Zt2G16Pq5GOSS4Pw?1T}*Xq z4SUso_A$Bb^<}8cNA9yKYFXzsuEA%J=A|#`1obxIk>))NOg-QO$^OZIj^Apg>x{_X zPF4QSOT2>p1?_TPN`>Nlp5ETz5~~?$HyPItYS*xFN%%&H`Wrz*FvMj!u*hAyL3zbd z^j8zEp1iy`!5nNjqzg++frjO;=7^@qlrojbe*3B_6XXWY6Z)}t;jvl#5cY^HH0xww zukyD*)NRDlvGa4_b{=pea5e4HHK>%$t#O)<$|qa-MpU>8LnxE662O@%qt2eO5f?frtxMVXoQ{-L4`FlFIXH$;$2mVE``#sO{YS^%wfGTQ z1v#yO8D0dMwqmD|5{u07<{i=P%WdwxW-oXHkH>5?->sO9#V79Ak3W4nDCb>=*E6NH z83}yv?`qd;;A<|kzz4u9JcQgZ^59=Qa-Qhx4nK;(13DUL3IayPpruqKC?Z>{k0HfK zsZ?6hri#V9sYLM!lCt?sonC)K%+IyNR`f8%Lv|{PYf>Pq(82E}Bpzd7VPa)pWnt?~ zP9+?VMrAn_R35SJx!k?oY}{$-hM^W0s#i1s*Z+`JX-5n(`~zaMEJ7U+8gi4#ObM+} zZoz{5bM{Ba>{X&JrgCDKAgKqY@^2{so#XCsbLpEa$Kx=B;$rYLOX=5l=RpeaRZqzWnE z^d*?o{#^b-GPx|+S&@`RHyHO^pNhVo8)2i>3Ga)~2%R0?t~C&rpQESY{hZzQ-RW(R zxFvV<*5G*;%1x*JZY)=)#lj=gx}hu zx$R=^T`%}UW>iQxuaXzk#}9C?v>L0JF@x5?qd9A-eU#TuP@hqqq^~iB~oaqZwGU3nCiIC9_yM zRR+gwSy?mVsU$KOq39jI!0C?O;-&PqxGMPMvq}5in*HrNQu01O{m@qP?6ymPQyG5? zofAtbzz&>;Ll9Z$d-w}~{7hM%Vm=j`xz0&Kc&B?>T?BQUNY*Mi(&xB_1N08ozbO0d z55?^&+(0nMpMtF!EO_4OvZ15!Sn4OB19CY0ClLf7CC-2dzeH{!agdRA>@3){`t()A# z++?@qcOLwGiwKH`w!JI&l}BhPzs9jIGdXb&P$*>lMmZ%apBK)gskAU<-NA=XeGi+IpseoB_}rSLHb1 z4D8n|8%eZP)a7(ZBao3yBW@vug8HBx1#?uMWzm7hzTRdY$+zG-PmNXD0jmB!%fP%V zsf?lW63&X~b8UkbXhzYD;U3ZXDd&`}Bh0xEP`v3Mj zy-K}Z1aH~ma(W8gm^K@>%eishpq;TT>=xg87Pp`dq#Av|zwXzS{xSN343KzffMqN% zGy4tfzo3aV^s^@@za;2Y7S~rix2Tv#sB0U=I&11!4298jI8O!q8ngr&AOREb_?%!2 za}-Nk3QlAySkkJHR$S6yS`Dkf*@?Gias-XH7T^|w-I_T8= zV7hL6;jxWyv#|H|wz&x%E!o9O0Ca5x0fO}0`D1co+Uaii&bRiCLQ`gtBsGi!ZOE2t z`X5MNrXt!!{uI^H;_7CEeTI0f>Y3~Fhd9vwK3r-ro<2U;xI5SAJ=#63cC@(?KDxAx zZ-Kx|#&ni9oE03S5|4$)%?RRPBlnsE4)3FZGo~agb$<-+11&>ksd7Jd7pI{TgOdYm z9nMTgJfvmQffUL;QKlw?yZ=Pu{82`&f{_@&TCDumhXi~sEJpUoL{^6s4Pvad0O zMaljXHyD7Y-hRT9qTBYq=wkK#)o`*y+~Z;S$lB4Y=QnWR9@+jh?!f+O(Y9}=9eu>_ z6TJjj@C%6_H9L#N(A~GhUw1m(lOY5F?)edfT6=;*C|YFQND0jrM3Ek@KH}t}e%R-P zFwRc2sQ1#E6(dxYclS3S8P*I_3Gx!%eGL+N@xma$5&^KmXMgB4B_5aK7s~k{S%UK; zAIn+=YE6RM=i~;Y4z_#Bi8FhqucXuQ2f{HN#|#+SYZh$xRln_m2{081D&p za3HfrQ?l3r2PROAF7~zP=?&&)V8e? zs7PR(M2?0S8t^p;8n4qkE0-afkGr(%DI}HzVtaW8EdT>OFIVz^a_LigBqy{5|7HObUVveH zcx))laRD0s?Rgk}Ihp_$=nJM}VLi@dVoe27?i>A7!tQeCDx2~Ygo9|(y;M9YWx44Pk-=+bqcYydD;lwzNc7R+=6#Q1q*Dw1W$lfOCan6*M6<9DkbjW1(h&ORko0sho5U3GZ#be zZ%QT-I@lVdluT8PzdM#q;l;WrC-l|f?l3>|c(W!4o|E`tGtIxmlE#jrm6elI3+)BL zAj%N4mL;ABy3RJczIbfU0kP$EUQ;n&!DaGCGGHrVszTr)r$s_SV8)@mFIIyP=Lmsa znFYlhO+_nCUK>=yPD{gy!N?^uDw7Wu(4wY!DD{F+PXTOSy|RorrV=FMfe3TfhXK&D zH1RQ9-UweKxIjn%D#blKpeB;ADFzz!I*s%8@(FNfYmVrZPv1AaxFjq#%pETKad}25 zpWa$u!AjnH5PHF-0ovy*NK?yfpVl0ePP0leTDRcFCq>208H3{4ih*Q2p5!??d zPzWUm**#j4`b+l8RWHEf0y~| z>&TdOIVomJ=2KwnXUC)zE`i&eHV00f3hr@UQC{%XEWA}b!Z{c05`e>JHuNgU_o{@O z#75dT-WXaHdS#R{)(NO<+h^ayp9F1!HUkDWZjy{M58~J`91HW#RfBlony#oSP0pnn zt5lmnp+dBgLSRJQCA#YL0+AJFsRKj!j8=Vy>)wA4*xEjj7BE3fPs&!uFb$w_BBt{O ztw}RvSwkAI0FP$m!n4WSM~L0^4r^o0Pxh|4cT`Y$Lw;yyOZW0Q0oPeY^q&%M!65}A=u{{(5n!9-9Gh9 ztG}JSk$(wE{Ea#9imv?_Aa6A|x!qB=?RqvltG(uo|LXkAtHI%ecC_Z2?Zy)mOU<4( z|AGJG0`**;*p=knQ$g|iv|La!q|xeUYkUag&uE&Trsy&d811%27VW=KX=vnQVpRf& zoCVaJ{p%LL)9b!W5SLpZ3`D;`4NT;;JQb9_edA%-!eJzTQ@}9M-y8N4JfO@OLh$Jy zi^Q#5x_EFh4!#<$S_Eb5!H?9f{0SHA#)vin|ETAoFZwcboKVa_xZ~-?5%8auG6enI zhi#8Gh(EN$%ltDMHRpmLL3 z#BD-$njO!C-JEV4kl{=*ee=_|AY1U;vl*|O_?;Y&7kmrh6%?I}!oO>_2#;ty+yMsM ze->3daRI;1u77uPk!SbwRA!l7w94)( z^pLL5E6)l)bTl?3KJVz;%+DO1m!4>%f1dvoj#+f{dmblVG37EmMVGfe^>MJrCE$L? zOCRTX?^RBs!i(thEuXvnL{K^vByJ19(+tKx(9MhK7{*i7gsMl^QI|wbC&pMBm+ViF z#V9DB-)lNhKyP@^3kNht95J7`uiL1bC4Mo)soBDYF5Hg(U|>NVMJ=x;uF5(Ifw^sz z)~_r)OCTtzy`~x|4HG?0$H3J=IHC@Ntia56f?1i4m31s&_+-+BdEwq9`uq^U9qB@< z;_ax5bt;>IKLTQ$H^)pxFg7B*r_X_SEJB)};*J~w)dn`-BmJ=)hREu4$rs0DxdZyz8~0hmpFSa$UjqBVsf1~ zf@9+FB>gtntQcH@VtJrGy2J0_c{EqOr$+yPsdr|mt+`}WHdDfTx6=l^3-9v19_*ye{73I z$vV*tfnq=MBN8lpcuIrXrJ#vcb3pT5s$Mu!^H;4Q=sqk{c3% z1ock|TIXvv(Cu*q3!bHbj|&iDFBLj0Ys;kxz9FV@%6BZDB3i7g+^j9p4giQ5Efy{EB(zprZ z>p|bDAYP_!XinGV*UTc7rYo8u;y%W+bdfu<4T1Y2MCIH#?%%+3Tc=Q=c$;RS(4f0sLrc{{Lb z5ZgA|I){@DKXTC~pSkQ2x;y?MQ=&&@Kgw?{2MozTHN5)eg~W<+8szKJyuHHa>DkN; zfq**eq^6kDgd12ywA-UPCXu&m>U6@8mtk9vuF}JLe-Tpu9Oin)+;Wk!(a3khIf~E^ zJ;%>cl02o{mFURFka4Br=SuBm|J`kPTbnbwk{2-RKqHZW`Bc#8{gftZ5|*jgeRNdl zUg@{-NUXXw>f^*W`}kH6SN0S9T|Vun2Sob#8*4urT+J^TVd*K*A;IIQsfE_ZP3|n` zU#~h>I`TObD`rx9>2(oy7&%8h@!-sHfIGW;u!r7*j4`ary#i+dl}DH&%vj5AV4xGH z*Wp>cKOTY$M_1zqv5g4OwuYi(fHgE2-7fXVS5)sy(ofSQYjlpBIA~M$!7&*odlDpE zaH66xnBWlsO@S8ESapU#{Wtk3_%>awkYsxa4!WCuQYPAlha`Jc*ze>)NgZRK5x*mO z!VH?ZZ?%EzO#CBr92Y8Mq?}kycK7@;@S|)BAP|I5uhu@SIbwCA#Y@~G1@e5b_kD_Z7;_&Q zPa!F&iALm6MD>6V4Rny}#(1upHvO%HJ>(z!6F!R8FxXoqi5Ib9ye6&9VP z699VU8USIkxB7USNAb zjBm6!g{WT=YJgV;U|WxekS6Jf4U*wNgd4uq3R#{MWOzo+&Kf)031ACj8(1>Xoh;9V zK8*qFAqNO#Y$262YSyd*&R)bmjExtKnI+Fu0ktuyUZ;OdOP(yz$snZNgos^slaS0` zrL0$e4PB{>QO4N0a=ygc$JWGM#$RQ<#sO;hVwS-q18-{=BTFJWWkpG@YyzOfx4s%4iH*{EajfS0%Fn#q}1L< z6tyo`F{DT~?u`nqLYn|Gnr!QEP)#U6lki*W{M}4?P`@Ue1@lf%v``GLqv`p%Ul6>X zlq+qxfw?>30MaJs)@=GYBx{`mjC~ut<8L!}=Gc@1*UIu)l{NG2PMeut%w`b1wI^0< z>l0&HM0*C;+6D|};O~$IX93$evVEM!F25< zp^(~+gfP0~AXce6)@8%HxvSA>F_cr;uXtGy8DzE&oYCSA$3+dY!uH^)j!H6!BAG}W zC!2UeOp?rVph}#}sS;eCD#01$yMa%MqF|^{LQbs~bZQ4}R|_(dYl&KL)H2c1YFTb+ zvj`SB-;T{%wxGDN5FIr6f3s)#AF9+jdp1vFgOoAK1VM*hapvE3Xz+qVj&$t?o}r5& zn;@5GFNh>L3Og7{NV(|WkJ?p$3!Snyx)!?d(4Nt?vNk{m2h>U+k*MQtPXNFHI!H_7 z`pgMSyjHTgVRO;*GkaVB7U*CBfF25dyE7-Ea30<3sHAYZiPX8tC?nYMFn%y%{$?ClSQw~!5mu&x=64cf6=b-P2pP>APM-fi(&Li~2$ z9@{H6&gb)oNVGt6OmI!`X~8C;$uv!EaLjZ~_s#S-2B(GY@I64(K!oF*5sS&wOXKw9 zp^3-PIQKMq5_H(xdx6h?uTLCVx8-mkr zAdx+1pW71@cXBfrvWB{=5s7)cHxSPGL3=!*SqsZ3$e-2#9?{TFi<7xPEha9&Y-KRD zdS)u1*Z`jT&zOxoScQNha?}uTfTG!WBOde{Jo>rL^q!ZFrT1_9H7^Lu`m zeuun{m*Phbr=R-Zj>T>= zRvqiUOufv_TNH>o+mO$%`;DBvhU7n{4tF#<6Y>EVAU)<`Ym`}BL1yz@K+>IoU=;L} z9E=7r{0IG3qtC5IpIdXviuM210rf+7Tp(X(>4`P0&<7PHw|cC)|G6o1m+%$PkO!3!j*Y>6GZT*`NWeeXLo8vwkh*W&h3>dmAyoR3 ziD5#WZ)jqiFwQq7(IPa&n-a@}>$tVTCT^2(A9|2`5?OR&EP%ZCw`zwT@0#7(^87Gw`{9Xt+L)a}S5PE`y z;z-2K5xF=bnS#C0CYXmd!Mp%hFyZpk@pT!5>oSZ%08TT)bs2=~${~{L5)zD7v{jIb zn1M(!1Ce4m;*BLhOjvR>YwidQ4@NW7q zIP}4S8JEp{q$Rnb_PWas;Ti9}i|6crIsMdoyVD=vS=I6|uHA*rKTf}shRW&Rl@1F~ ze0&^q0ElTJKPn2L;X;CQ;DsI&%hH~!L5P+9}Ik?aV0V(bXl|I|^C(62*%b{&rNx;6%Y65A!= zOQKUOGr~*5D^1tfuC=c-Z?yl^y4~JoKWY2St^z-gI_z$T!|t#vCTEDmf?l%-Sr}GD z@S99tUoa5y{UUQHFNOoIV2ThvABq(*PUi_#{;7!c& z7ho-{#TCS`TtjjC;nFrB~DH3A9~!gQYdi3pN#|QO9e2# z2Ua+o)K;-sJo+RaAvdcs?~ketEUDcrYxY{ZI@IADN)-vbg6Xn0&_{xSS_fz>PS|W( zxK;&YSuHArEvJGsL$EeLox+-BNg#l*9dr*|#0VqHVRg^qzlCZcj^v7SeF^Z`IODf0 zVJ!AIX+QGYwXeT9zN}$f=FH)SE2mY)#{L;UzHZBtOr6q&xHSv)pqpGGy8{-WnODv15i*57VbN$y^)>_xvZ&241uPRy3 zwOektw%hMi*A?Gda<6rZeTyfOHJ~eF31=t~G$qQxhank z_}!+qwd>D4@@o1Y58!vyEAO0k(W;T7=Er@rl7pX^yX@sT3x9jF?T)){zHCBu_2RN& zyRTYvY}M+|bstkd&~5kA{50%iwybEdUC97MBjzCeUtR^sJjQ2uCPhw zcTb?eogjv7Pja?BVX+Z9O-r}!NlVm=quz;L(&}CAZS!*8|7t7jX|%HNso|M0GYM)o zBq#&2)5Wq9)XZ#rvmrsvISFcR@lYGTM}q2pYKXgLLk>A3ju{I{YAuKk=-WLI@I(;W zV@5PJ5ikT8bi0kk8d%uD!M7fslRo#>@6z8dKYa0y8{Xa{>_5Nr!}R$l?!wm3xe4cY zy|nv^!*u-vIu0h##YWTlfZ#r&I4txrCiNNOfd?QfcI001ne41VhMW1uaemw;3+MTX z2>@C2Hf6rZNj}+t!6669Wx7iUWj-|uad9?_g&2T2Xn2ljwQP+3Ah54aV6#|rJvHO+%Dg4+N>N>PAitE zGEpHsL~ThnwcCUh@SMUU>+4xcBHV2@nWD1bhQKa_hoVGq6C#-4Ri8)A;EJ3peexnj zvABZHo2Q$f&h7EqIMyE*P*@-B^wi zj&f(hUyBBTyc*;mgD!TAabE0iLem^g&S`%2e))boarkLiO=bJ6woKAtQJ-)*Lm!Mhx+QBpvu|_>vQTy1GM!q#e`yCgyX>@E=quX zW?(=jtIcj#+%Binnb4Pxfvl|STI5iov z%ZwR`zvJ^A7omUOYGBe1JGVnF#jKyy2wxg(D#oMt*bLnna}xK@$xO=pylb-~+u zE_5iGpwV?efHZ(iR<`IlYrX%#jjlL>7r>BV;*qm?#MF_l(~3){6_*nZE=VedQ?`P}K(fScF<^uE6pb02jF(_jAMj!y0c z@Rzo1iq5_G+!HyTJ_cyZ#WgW|!-CYj@P*xgI~yIjtagg_*+M!!*YL>alHvt}ocP?; zoDI_1=<*wdrXLs@T16wMnE~vzkaB0aYX~094UvbKhFFK%20913%uW}LQakC+mJLmV zjn9txA!}_3jajpRHr-u~ueOi`UoMqdDr^boAbzMk)Iy*5BY7&{BG0f)w@r1<$8-5b z@?y(E+g#@weyvQ6hpU}eyVmpVQoH#+zDwTge1(5aeuw{){I2b7=V$y$`K0YrXCIL* zbD}`#PxaEh1)>cEG8!`9LfDrWRBKU?$F2I!4zRtcnq{MqDk5S9Awz&b0f{Nb0%GU{ z+5)s`GGV%AHU~PH%Wkt;v8q}fki-O$a}%*zIK^eQU{NJ5liB5pA`^&d6GyDpsKU7w zg#!V@afG<6Rz*Q_l?Qu()}o505a47p_eRZ|&4<60KkZ_r56=$5PA_DokR?Ob~~l9I+>B0anNb( z2t*x#9&}G<{e<(>T>3 ztuS2c0!yER&1&=d>Re8*4^k8W;vkvp0&oC*r1b^IS&_5Wq7=j~z^EWrXE9TVP)Kq4 zpv>iiG71rZdedn>;exB--_4WIUMsL&h*)K#7u0QldnBDfwfQ{w$c;L%I_-}|q<=J?9 zJzZ4`1^5xe*%~>P=mWoBzg%z#++O6x$AM`#H+-~)h8HqEcY+Wh}Jso(q zY){}o*^$7pvOjn}ER#oiafBL{4yw#LojvnV22%2zK%qikp+DKDqNbLw?K6h|QJ+S+ zIXO>Wn7m5apuDDhXZ%-mrF@n;3xR2oAL;FxNQ5%yvjGPS1Z0@yR1yQH z#+QaGpI+Cjk$6qN+C#NuYb~zz(G>*f!+o+5&Gwb}i>i!eGpY<# zr%Km?G)H4q@k`<{kraycA`#t&*6+@(yrDm*Z=(om1o#-)d|eSbm%{YR=pHy9@G#i3 zR8q13ke=i}x|oyh9e|WhASkx})~TGu!=fa~Pd=em&IxcHz$u$Ro_F&K-S=Xw#6t7> zY2phU(2CGr#3hr8Vk__BDrpcUTx#Y9FqpIWeZH_;UwuW&<%HI=$r;QEZmjIcdQ4I(hqu7XNj-JE@kUOag5sviwp{N8+AJ!<1k*A}Gw zSH5xk#%Cw0CSTFPuglpxd> zrV2@6VNtj`d{KCL;pRedsH@If7aZpu7i^JRtWEY7@8!Wo@)GL;`<31+gNF*=Ro?Tx z7x;_oOW&7)zZM)X%oGNqLY2MB-A|~uYr;7DL}8xrUco>4?^Q+h*m#kkP#Bn**%P)| z=(M38=cGSR1=1Ypl5K;b~- z;=#QPd5X9z^}K0T1`ab8vCkt2J=6o}$V^VUDAkIUfY6=TtlB*KR8R{qhS*e4Q6h+? zIn^2tl{jE9_`4u1sG@jLDF^PZo|(;+&vdTbdBuw>wDdPWKe(9GG~9F5^G~h0>Ul`< z`sdvf?tXn$`s?)DkKipYHQaIZH*dUh6r{<-%t`JP$c-SGrQ2b?%xS~QG8+>nO$J~$ z0M!8K5arw1HMX1V*iPB!M6?_v8t)8SB!8H>>1S`ed`tG zc2$qG44@mERcumlVR(dVgl~##im%nx>U)Sh#64(zQhhS0$ku>)5n0GB64ofot!>t) zmEER2=G}_og$$#=63$jM)4t4plby3;5CdAGAIqq1g=TGr6x-vFS!+Uey9M>MF$_&- z3ljF2oCccP8|}q5z$}n7MIpK-W_~r4`6^cp6#7hv=AnB4s0weN3%PZ&@178WLh zl#z}NQm!iX1us)y?@%((I+l#Gj&_d;Pct`K=UYD!Kl6T%&)8J#0f&}q2VQBB90-^t zNF6|ld}f)OckHjm=s=Gr43#+Sz4)sAKO$UlqrF5`-*8~np*dO|Z4Th9lvs5B>Tps9 z=3qJu<+Kiwl9q$CHmR9G(qqURF zC$z~FDhRXHft>FO-@DHS`TowKf&Ss)&hf$F;YrRJfyv=n&ZWUw;cLWeJZH&Szlyxr zZuR*ldZ}}o^M>u4)vYQ~RX!9pOK3lNmeQ*s$qs33uvOqY_ql+V(Fuj8|If>@b~O4l zFt9#gervkuC2OzM$ctyTm#MU(CS}FeU?I&DFG6{-Ee z9_Lgu@5-_`GsU=FOJESP6G>-Y-cprxR9CgE$YXPQCY4)?F}FO9vE_?I?(+S8zS{SB z`fKd|;E&jb&!05!S~q)B_j_cLGNkeL>$l@Z-xHm<5cCuUm!&^Sf2T%Y+`jNe zr*)sb3lOml;>kXIxt>wwHeq|9D$p;W1(pXMQXaN$x5`0lnKczS6yO8Qgbo(g6v$Ri zv4_prLy~S6&xy$Vm>at@E`7N6Ho7#vXF9II6nxoPqZTkIc*K!=LAFs;=s%=}r939X zg*BTI4rr7O1vD$LAq3VL?8{idsfaQr)F&Hi0>;FYHn2BX#EF`84EU7kgEaksEn|hA z@COd!{V0acVlx6iMV?&&qfXZc1;+$q7N?S@z+FKz`sq@k;2&gD(%h;;G)bZiwv7rI z8pt8qLl_MGikol7Nnj%@L%WgL>!Km6%FZR6XtuNb01#(-;tbQaAT@Dd^}w3JG{Okt zib^XFT@Y{AV~@Flw_Y`FMrg=@$s>;);~w0!VsXvrY0gK?qg$`obbg-BD=tb;;=TZ0 zLDz)QE&EoBMR51Al(@%PM!7{(L7$7~h^`*SO25!$5v@g66U)jXpPmyB z0Eo>VAHNS^{hdmqZ?zqlprS$pB?}FdEX<;$ud%TBCHpb^$M%e!FSOU&CxEVJuoin( zUiEFXGuC1UIRyJ6wop}=wn$$Qke0(fknFQMMnx2O#CqgWHoo1X!W&|WahGuyocdR(Dvy6jy7y)JrObjg=pOI*5js;l?Fr+|w9 z()s9oW@c~F6fK&eMX_(7F_kEPU88v~S_X_*eZxk-4PTXd|MZo=zw_YQr{})E^%q|} z@bnGWZ`-l)Jw7_ewN-=aCt+Y`oF=^Fg^`^WTFYhI81C87Us<;gd zbC>98+$uEhG+j_W3S@H}@ht5#n=tjg=3^X9H$EX*H!qp{d)-7t5Kp3eW|&^;xfrl2 zPupIh`#xw&cWxO9<4QJhN;eN_*n{yhn`o0tY@!mvRvEY$qW;R85wJlFAvlW}nK=Qt zSHI3B0$t5THy4eGd-__kj<|y%jOcM}=)CdJRWFR~T(fxMopoRsesgcjlMi>#B#&>n zZpvLZbRPh|wGj{kF447qh*_UaY5w zfM@^`0J(=|qkin6J+P1m^Ldd$-8}#gH2~27BmnYSYM^P(v6pS>|JUA^z(-M}eODjT z-E&_#Cg%*fAwVDrgg_(%az{A?B7q<%hjIxTybc!3ux>PtvCBI?3=u6W_D zxVyS4u6XOpy6B3S^!Iba`gZKh=_9j&Of zLthgokF&3~Z?HGnciTU-AGE8?9=0#BH`>>myY}04yCZCe>Zc-R)vicSBLdL4LXTQ$ zxxf^gB0Cf{-^S$i@&>s{-YxH!mEH0|S!8lV-is|+HY^U}WI4uaGG{dz^^9LWaLU%e zDO)icNc_2!#LlI4OU?=n$;pnZZckn>AGxYpp8*sL%s;fjS*SP4(O_qDS>7Ss&F zh~=>wt!dps9xLHCh0Od~*_Lk`B$wH)wb@cNn@yEvQMLt{;C6~|p2&WiQ;mBkx%{0t z6*bfET@5)1jub@i<~tm#okG}I?HuQnXjWIWg5H5S%ZnR`oZS5Bgw_LVm5W{j{6l2J z;^T=kEtvFVw~>h>3E6}Y6i&{S^H}w%WCEi2G9%pAaBP;9t@LTevKb!D=Pl5Djts%> zQ8Sp);uAt8Gq(6uwEC!hq2KF_e^tfiyAq65r719kR$RSyYx_Al{lgXgx0VgLbF}=$ zTW=k{Y@KKHZF2pQ4KJKJ8{Hk+z4Rl^L6x}Wv4vjVkl;~qXYn(0GF2P9fFI(`Hr^pwrBuJo~@Zd8O^r9jQsDwP5D zYWFyIom{6(QS0oN%a_>J*&yivPN<_?k^gm-wO$q{a;;YM;beKpjnjybOu8>7cF z76EhSvsm+qvAx+w;KLB}VbNsp5RF4mP1BtF^5KZ{Cw+bazr3d)gDHj|ZV{sIKG4(= zm;0k`Bj?6*CTC1jjHaMI#2?Y3I>q0_9Dq>25wDB564{z4%RkNpPSvU;{%g8J-8FV0 zY`;bl?IAZ!J%W|USj0N&u=mWWv};*eRW!r3Ig2K`zTF(*WJ@=5scJs^>^x?=2^Dz% zy7380%_&4aX!h~xu^@cQ#mN?@HG7ThQFN=o`?8=VrQmK!NmcxZ;-#BIbn@3*GK}oP z^g6?t&=H8pCn|Xz=(S}gBSgp{E5=Z2gjiTJXt}dbMGq)!`l5Zlu%jM{u!aE z{ld1{V))YbX=q1RWBjb(YY|*|^ynE2?K{R;nJ^~CvL0guOc?3nu6oqCwxia@hcCYB zK*a!K^?~w!2JO?=pgG3s1krrRd|q4Fw%+!kO&*7zgElE_TViXp={6Zv;t(Z6NTMro zVJR6J(t0NBhCNk`mza!Uj7vpXaT1fkoQD3VnaZCPcc|-DB%(Q(v9WUJ!Hh;Tavqs0 z7Vxd3#;z7dR>_XV3$EVE7ca2nT%`ayS+2C0$41=7f^pqhyebqw_sAcY&Wfw!%(!$$ zTsl22P5G_YNBONZ1=+dd(w?|_ zvQXh6T>GslSRK~PR$+QeNIPoEgXt)8*M{;}X9yYmP8qQ~W$-&;`|nXd-6?|$@fqBX zj=k-MT9E-i7T-2}wHdh8b|#kPS~tnHI_O-pgHEc=%@_7EbkQ4_7-rN>OT3%TI;xxI zVgySub%&oVO9-6XT!}Cm09UM`hia$t)q1%~!c8ji%E|qu8|PnmXL$LZ`!==Y)(>9to2^r3pK|3u zx!{hmGtQc_bMyALLh;^(GX~!AP}`ki^TijBzw6evzgYU~r)bwH!l&HQ60}K5P<+(a z>ibywEO=1*A*et`J{Zjb-(KPq*828__J{P49MO_INhty7uY!`|cDOw*PbVeT6W8)R zF(o#$){__FI%|mQuTHMHI=SZRjA^b;-s3rQcnjVYGomBRbzAGZ1tt#o|l z)sdjQ`s0*W!>h_?EhH+#V^jIEx3ND%orOJ)y!@R)XRNhT_uE;0nKBVe)}E%^jFfXpa%w<872CD{U;rEHeHB*spruRe~KRz7gS`!nw!?{jSJ zv0zlgBXYr=n};tswe<3~2JzZ+7Y(`XwKiImPh`Vj$1eoGxLKN@6US^%=HH>=GXl9? zi29&8luF|V0;(g;HBuR+)hcz`*~&akEB6fy3{2@48t$tJ)T9g#)!XXrr}?G_rl*`1 zT4Y;fpY2-|Sd=n5bdiv3S8VQS5~4aC(_9OsIkq_t7=ltWWz~;9lET^JL)bgKK}j(S zoUb-157C5RstnF>G|||wwyE6bV>(hT2Rl!g(~pe>(akB!JONihUVeEW7z)$8KH@h$1ebWc- z@EgUgsb3W7Q+I|(zvB~TrrU}2O(#RY`OUU?UV@o_Iz-esO(=f8Gr5OI3baqOO|+k7 zJIgMUsK>jiEl$7TC34EC#>d*!xGB)|2>}H2al3uIfo<4B*S+|jkaF2qH+t9_ZWDD-sUVZJY7hm1O`D!I%xw?U`0xVnD$9tlsE?+O- zNxmANTpekOh~Y?gS8i5ma%tAktR<1v5p7`Vz>G1eV>0TrX|DRz`V2%Yy5{*7r7pP*M=K z!8~c5SSl@!rP5+7m06pXx7Q)~98t$iN25cIaK?&oCZmtvM4fzIL&%!cU}XuBuA|f&Z`HUSqBEt&A@WlmU_&Nn*{E!%k!Gq5*UhzPT zFaj}zPLUX~K#bx;2ab-xFy`A0gYJ_sm65T>ML!Z{Ed$+%gKxWyXBQj(MS zs@sKrDUM}UJ~Z&Qb5`x0f5C^BO}(YJf8&K0KmN$lhAr*$Y|malVZx32+6UW@+;Gak zwjzE}7BiSx~<_Ic8N@QsgU3cuxiv(PCPi#r{MkpC z`TcoE`J5AC9HK?FY98+bxtU+(W`33TI4X~)P}8!q6Ak>PeZTE!)Bd5VqdCN25J9HM zvK?>&dK9-aoim8DGlK|zGt8mCX}Is?b?({Y&dJZVf4lgP%U?Y2fwpc>T->m6^Mw~Y z*gj9x28|VZ3+jgUt2f^K)5+44ufP8M%WuE)vZ1%E0F%4~{_qR@`%2M4B|*U_3%PQ+ ze6l=Io+~ev6}w-vYj$_gZ+A0E6P&z5U=DlHYE95`B0(W2<|KYgGrqo$Z~czxaz94> zaRLN_!o>vH)i8i7aaM=1fsq}tC%ldgi2?B>ht8)3I;oZ^te;!LnD3R99=__$^z()3 z2=l}E51G>0D)o<(DdXiy!!f5~>|gP~;Ca>4&KNv&=%6!_vgLyN&l@%Hk;0MHGtX~( zo9eI{@giGLhkfL1u9wH0oiUvujVxXjobij|8bSQ02nsrVoTnq57njbBOXtL;yTzp= zF=299t(=pTGtfT9J}j>`XHL#C`_1-i@-_w^@A;hMwx_0tQv1~Od?(eGAx;)WU#Z{- z)ob6Q-TUWFb<{a$7tAhNn!7ZwG4FQA zJ+9k|?(BI-pNAZexgIQhsHmmj#e$R~OR3AT61i4_N3Qa(78wRPGY=(r9wT(hCf@Im*J1d>skU42RdEiP~b=tVJ8EKo--b_=xY2mcRX&wJk@A|BTSz=aZvML)v zw%lk=Yj1IT_@~hz@uHmB+Zj$5((}@y!BBZAonFda)m$GW*$%(_+q-EIIx{UoXVIi< z{PM5^Iy%H|jGp4OjtOop=yH1CdfPH9_x2Ew`jiimJ*=7fJVBS~VJuXL#M9PGZ>jB( z&dbsb@f9;mcbAIQrH!RxDTQz3F1eK5yJE)Kmvx7{jjpTXxVCm%# z;*FTS?oXsj(igOOXKT1SjSmwb{@0uHp=Cx)>ubhUpht{f86C1l@i*s>wZeCci_fFz zia6Es8wwEvt^Vdb9=l8S7@7f0B(_Rysv+}73wvef!uws|_XT`GpQPltBN@zIq-F@V zUiisQ!e%#5ZU)QAb-T3gFn$*m+8s)HK$QdgLSSphCmo2-vU;gbcts6IFK#yA|UjFP{ADJ2=#?7Fjd-b{m19R?k?C zz8sb#xQ`*knaiZ*K!|e*x~dJg51*+nQ5)6Os?4B9Y*3rj-RfRd;mabK>m!kj$P?f3 zFm`i6Ix}Iem_*vCp`eh+Np1pDPcalRb*DI=g@pcF<|Y^d`4`R&SLy*8un{TNhFCFL z!ToGjM1_X_JsnZ4!_4?=i|3S;UCp1EiEw>g8Wn?aE!t~{1yBg zha2x5ueqjW+qPhFQTF}oeS_ybAkMl`P#3n}bYt7?r}j)Y#_Ovw*6f!H zQ1BgwKRum-sbE|cBf%6}YUE%vEs#`R92D}jV2Vo!roe~e@Z$}zvXo91h?F?VEG5nY zk&+)uB~w8K^WZjkQ(!vr*iWawttAi)uv3=Q-$5B zLh9IbJ_no34(SKe#U<$*(woxtbeUpqP48RGuV+XPE#%dY2@mvf?}&XbJfbpr=s7dk zW5R>!(1-QGaM&9%1FaHHzudw&Hm#G{*Yu1ZZD2422_8{hWe5666>4on4;B`14_a!+lCDzqvVCVf zIL_zX>hzyGVZzOWw%)UK)S_|y8pPY$T5jq)a>B%0R*9AHj1nJ!9i-2}2M%$T5nmlQ zCpvB_G&}ZGXb}TYEJugzK;JgDya2w=@z<#~jX5+$P-4@Z^Z4?1CB^Zxo%v+v9sLlw zk>js~2KPbK?XR@M3|6kukB9-Q1r&oVr^xZ=s6D$|IV-{s*ZAyk7_b!lVC&uJ@}l15 zEP@}et2-;Q7dR?eKgTFG(orkaignr)`&?nJI8U2rznEPlTqItiU2MO|u~JwmUMpRv zuF|fz-^tY@+Bf1Z9Bl1 z0o&Iwh;{HJ*>-*#7qVlpp|PwL0y-_9lPwlqA;vgV>g0Ti@vzVO6cT+#CN}fGBjhVK z#TzkO9XSGVIbur)^fBcjbS}jjY($w7YzM2 z|F}2Jkc28tQQi^yrR6BLdW>+9-O&&f}ALyk)ECJ8;{?hbK`f13YhzeEqm?LWrHPH91D zE8zKH)E@3u?#s+F9QDy1;kJJ2p5s!xm7!Tfa zzT1=Jc6&6KWr`hI5>BTH|7KstL_rP6n%D30xOwpckOSyDC)BwGytFc-Bk2d9Tc8F0 z8{HDP`H`q2GR`3^c3j~Q9j)TzsC}GYSnR*TPs_7RjyiodVJ3GNOX&U{*(UrD{9!Iv zF49gtG<|vq$^rgUH#j|X?8*LSzX*#!;8)G{S3SNe0;LHxP2q{L4}iHNu88=Iz8{L^ zezgC+TUj4(Bw&4zmM?r!(^MYIPs>5?-JhzR2IJ&fTr)?qDG8^fzF>A zqs7VgZiniH8)B^4+pPAX+cdKQ;!flCu{*|2PmMp_ukUYhMC1sg<7VgtUG(kkflAgB zplFF8MKBm2Xf}M`)I#~Py`8n5IQPiA;<9Z&ziG&}UdS~6z}Vpviw&8^-O(3Q?7y`X zK&QoD;)RrCui#W<(T?D3HyVSNtK!}gGRvYlP{)(8!y6F1Icdh4=;PBWr+U}OYqWKq zyS%$?yOrJQt6sY|no^lA1?|c1bYDMVpz})MW~WvXI9;w&>zq?OcM5AAYn?m9R@d*H zdpxiC-j(**-*Ug_`@|6lbj;un_`M;w&ziwcDKDSF?{J99(KGnxDvD&x;aBW@7QfdE zNz*NOy>4G@2EWgtctx+n_Y!-_F8cCgbNF9E+)sY|G=9a$r|~<+1%$w8_i|T`!+WM; zUmkTpY}gT1#w(5db9*O8JrQZSm@^JlKia>H8`GvAG9(EI624D-hrT&##(wYO>1IQj zZhrThChYTB`Y#y2Ak=CQz+*DoR!=Cal24|0W>va!QY$5Zwh@Wr~cVPP$Vv*2(cx0cUWk=dwZEpx;>e{Ch&vk2`ApIRYmoC1@ZFhKURupe+mYn4d zwGv(kDZh;k@#dgSlg7>1LQ9v4<&QbIj*34dHOIPCjICV>Y@Ovu@=8uAGtC=NoJuen zK;(JU6*1X6t)w{p{q)f5h=w4-4WE3F&1msv3h2u}h&E(a7A4hsH#?-LI|?3(6!j_h z(U0n~2U6UjK%ukHRp{>T>hJF7S?6~a1&V^BQtASA!MfymfqB7s$(JY>x-aoxnsjOM z3itK?8v{26uS;6%c+~lf?`i+eq%R$xC4KL1^BqpoGqVH1kjE2Sf+i*C%*>Fz!@Spc zC2v~nAq?}ZvG^S|fC{hI<-_;_c{nX87|ahilCa@*VF1Z@I$*eS1Zimir$QHCnZ8W1 zBy(4$nAs{;Z}Xx?qDigdq^Pqx5Dkbk0=oiYpj8;U-7Dm<;TafACK+`Wjkx-_#<`^N zE}buIF|?(`iy9KEw`N3^VK6}zw$TS8!Tq$5ddPR^K$`CWTs!F@-#0u3&ikgLDQNMb zYt$CZB`a#0Jm`f(9lh|=#%hZC=hVgXPdD&RI7$Ctdqt%qr=rq>Zem+X1g?Du_B3rg^;%2d_;oryD-ULL|2kxL2nEnNuSgoyvuaeTzrf~f zU-aDj#W~^PkGHlj9Fo^(S#5dy*^l{(@-h~9v*e<-br)Q@>_TzDk>76~S~tIfnXw2H52Vn8Sjq*6rX8&NwF!eA(O*m^EH28r%sk-fxMDO5T} z3nRo4+GzVYU%fC%oTN>)kM}JUW{I<4dA>|os$FKkL0F+(XFn_)5;M}Y0-?KBY_HTF z*8U`@)NkzYC6|jBz#s{}9nFPFP#kD?h={1n7evS)qCg)c70 z3As6ycKsN2q*RIsUlh|*I=WbjO>99#n+tY|Z3{``qe&(3h8Sima)?m(+7FRdwA!Aa z_Al#}TqHi!Fr|H*G`sD0i!Yfke07_oDYsqJcE)A)yE&gcgP83)+aFOieBHOF5UjX7 z8iI0rzbLMkHcO&(Asj%kM&B>fr+mpaOy1P#RldJd_%iic%u6dq8KL1Yi#&60`vmo> zAD0_^xK95}eh}C1FFecrRV$pmGI~`H7~vS55_54`6V;5_l1O}S#46Hii?>y@!ca+} z3x~MY{tag;B;n#Jnf?t1YWz1Qc$fiLv`A(ut@liXmc^wm%Iv8Ok+yH25KLO-each1 ziKA>sRm;?TxwBg zf!`0qK}Kd~D9mFbLQY#L=P1}ucuWM@!^s@Zy==z%n)De{)9y`cYm(bL&PYyHvU2`W zQyzq~u5RyDPrj5_A4*A{y{cFA^$p8^TXJ{l?21zsMv|v(EG)`6JF;lnf>qLIJ6>G! z;b%LVxW1 z^D?%HPA!T!8VbBG;UAkz&ZYT+6{(0C7l!;U(qmfBigHPQ;jM?Sts38bMC!EDanFU) z7s5%lSMUti@nt8|A9=~gu7^8MWEx8n|EB&eDDGAF z2F2a#?x5JDHU-5E>V}|rt9oltyh^<)C^o1KLGhq=FiD)P%}&BdGAT(+3TkR9j4={R zdOS!^=yZDzdpz#VeP>jYPq#0<7m+G86hWmWAq0>Tx}tQHBGS8r4xx7hLRUbLjv%0P zP>S?kq=OQO^xk`KH~;tC_1^p9S?hc~^I_J^p4sg;d-n5Wt;{@+=o8c@w{0YxXh$Wc zAL%$sYi6TqRa6As4FIob0e@skNkRv_+r9j*Ps>p_Q2>kbf=fJcHct@~Z=zMz$Mk1BxNJBt zrTOFRx5a5isgs|gyDw$=qXJ z$9l$b6rR=#6o6}hOD9B}^1>LNr5&yn@lC}FeGJ{(Gi z#_1Isu1cIzKsSG3CihD9p+o~%OmQ7IH7&?9%%JOag1fl9R85u*2|ft?Q(J}-|ND+? zC1+wQVH5DX+cQkxl&?b$hQ2pP*Nj(dOKMCRUp%WHA9XCv{Gu>>iEMsapQLEao=A8i znEg2aL}08+hhqnO@e7Z!|0BYTbdUD~*#}O=KJk=nt67`ai?zf@KiFOny#GQ*Cz|=O zxje}+9U_fg5is4%(~R4UV+>HorJ0*1J4qC63bG0p5%UiafeYqPXg~)lJB9vq&EyYT zZi|#EoUG+Zw9qB5DvswK%SuF6z{^Wi>>@lmKczL%J2u{kOY-c!i2AhEV^sd`1;I2y zi$2|FL>O4hTNl^m;E`&ku@3d1<{96!BiZ`S4LrNn+?P&+lVR0V>d5lf@#AZc(_Xnz z<*by2Ire|-)mznqmTBy3LZAIeOux@&-j=&vR9#GYJm(o#t#lcYp0{Ob;gPgZ)*ujA za=+jreOI-=7L`Z&1659O`CNcrB-5X%%5Rls{)UFTVK($AHaM ziJ8r9zE<9_qM)M`nQ-nCpWm8AGC9M3Cke&pIhxY`FCHqK4+g0CLf7aFw5ViBv50Qo z0Q9oX`N*sY1c*?(We=D>@<8zI4;^i6?(l9%mUEIad!#FwJyxp38&bE-8b ziNTK5aqKTtZik|z6O0;Rq^FgXa-PVN++$8VQ~Y+$UY6A`rHqsT@c3t3*Tv{V4rGWrQSv#{`&a{tSL`3kVY3vfxi)0J&l=zN$J^?C z8KbuwAN1;R$S!8JbboFLm78wc{-8e*{f(bc@7-9)$_&ZG`{Smb&QZTTN|fQxyxy0h z{?L-))XGBkHB)Q)-C2uk;p`8GUfK%I==E8d^7_{m?(XK)DI;@+i8n%lcC2)ebIX zNhe@BTY*!)zkCiRfc7V;H-Zg?jql9pRkXOv^f~7%G*rb`d9u@ zM%fLb5jJ;)lsiIvJ!ro|qLDjC@@P}iR4U3yO4Cb6CMM;$!gp*>|NJ&I2`2816eZxY z{~4QxrKLx?Ch&;bBhG`*ME_nF39%__Z;I+lRzEi80>j}aSP4m)JC>ZpV+i7T?NwXp zO%E6}ZAhcE2==ScHOc9Z7wosUk`L^Gk`<5=*{ImJ_P4&)w;O?VY+=M1siZ7wewUqlkkXM3PIAp zLVOt-&~>=>xmPH6=xRe0%Ngt1LAie>wt;-eA%Rwja$Dopa&3xd1G4k%iQgbfEi9lP z(}H3jyt^h0*t_h$$ZEnhh`cnKueX)X6pE?tZ-z?XY!T62obkd1@$vu-jF5ZZc<$ig zi29QUF22h-9J+Dxf?q9+Yj*Qhst*7s>ZOzJuit4(d9`zZ2hkWWo4!F>r}YB@8IQ2i z*Bs(5Qs&(JL)#I|whqhCb!!iaAxfH$W~;c( zuwg56Y=)debXmjei;szK)G9RLr8q!=^X&rriItyYEJy9as<|D_g`FjpOFJ(2qf6I z2=%RD$6QqnsN+w9s+yOp)h2T6-Vz14V}aMcvSHDbh_mOOtov$FfD6nmXf7s3fm_f0 z1JQ?*_k5FLFCXZbk&){o9>aO!?Vd)*HOM;%Z@CC1?f@w=V)lkq%?P)jBa&aCK6b~D zqG|Yu+mxA{-&;KAzMzTLdFHUZfQa%C-|vcx-Z0Vn66QO&tEIwy5qU^_@~eoet`71+ z((JrCg*g@Fi$Jx0pMZxT@J~dF-))=dn9WG8_wNqVxZL!b+rIt`+GD7KRaoS8!Bdun zczW^beU3J1D!STd#viLO1(qL*+lPTSlX>FofSpE^eb7tVbIPtN_w~|`h(VV=&~+;c z^D8{R)QUQHY3PD4byIQ3eU`VL4!9v&&iA`PUC^#8{w?1G-r8tw@!;-B9Qh-Ll`u}_ zD&LlwaceItsK{hl(sa``%`eKXj&8wLfdhVH6l<-247{y*ZQdyEM(W$J%dmEH@c!1H zF!yNU#a|-(2Xu^nLKYlYn7`2qx2fywvgidAa}iu&!QtaBj&&*50m->-nYo#QjlI56 zhNJY!+%rTobQ2J9pCI7h#Jf$q1?z^#E#{}9TfC22r_V(`@KYLBa=}tn?kKK05E%u^ zYVw2|ncf&lJ9I$L{N|{uF5iUurm)PRaP_c(zO^A@cuw&{{fE@QKd$j{M*Akh#lzg9 zRp4gKacW_{`Y?`bf)mzW%gExIE`kL7lRy?H6%NM%J?@CUi>L&(Crler@zjAX$PZjN z7szFv)<2mUM4LR1Ayg>XA;XfWVdFyLg2x5v-M}mS8{8$)X*U<@z^mFO^~Mx=WB>jp zjhKaBE`}UsupRnd5ER1%EoWZM%<8IY!keN&Y#hT<7p~~D@&ke{CXE2%P~iBV>&lNi zs#xcxjWKF9X6NeEs|T(lfjU8cQBqs2kCad2_+9GerP{wYW52%wI)873oU=F zG-a-S21bzVxXf%qwE5?**iB_T{PS~m3Qa#pFgbTOz#sIGkA0xyZ;mOb0$uWocK6ZmHRs5RL_ZK1E?l?11X1qy8 zKHc$L{-OIN!8dRwIGxrV&cIKF4do4t3+Gpxrf7>zO*<;|XJ)R&>X~&=X`MCXBg^$C(7re#GKE7qKpkYP(yTPo{JMq2=tfA6M`5M|$o#YAC9aYdLh(>eBF zwzMk$vY+Qd#$tZhjgn;Q(f49={14kD%+iu-L27-ui?NlP*l|S@78c3d^01ni&pNwfyX-iSV|>&n z3oS{OJ(cSMP{l-Pk&4<~CY5jL5njX<$n^uhtQd3l_k%AIf4W6lM^&+e@;!b>J3Ey| zCY?XD!sG{P7=SOBy97^_G_XeRk-4x!ueeTtqAb>R#!?HNYWc=mj#`IGe;%Jodj{}bii(sxBkPpKDlV(16=Nu7&^2lyt% zwmUvqC4U3ZCAr`*vhj?{P%W6iz5R-}2ENsP)<0y}BMen331)QmG-ZxaI1`uI9Qg@4 z^Cdeu(1H&L0_fV@Xg#uo-VoEMIr2SVP$GK7*Ruy)x?GU0#b3b=7gP?w9Fp(#`HX#K zPi^$kBLL7+EEC60>K=Nn7Gu^mPOfF_$z#2nO#LEi2v6YV21lJxx#Q_qe9@QIe3uH+ zy?}z5l$w^H66zwa>hhr0!;_{9)|XXW0# zpr6qvZP*)k0=q`wrZUtd>qj=TPR6lFG_f`B8ciWrKQ;_|Z-u#oFdR7ttnNQ$QV2B9 z4~;@dm%|8jr^?r#q?_$#zOo*pjzxpaOVxIn?S(oKB@-u06J4C49pUlhS~EdTNt2#z zXYO!&tB-1#)~lo8!Tgrc#S`?0)|s=f*I8Sdhs1Bbogu46XnYp$U_-3xrd$1u#1176QqT|)ooWGYS7WY!lj`v*19+Xi@bnOW1Ge&H%XA-F>1UnOmc#i#?AnJMzmHol^4bQp#4ob8sz|Q_rFr{Xgpw>>9`&H-{Slsj> zcfjO`NsrBp%Zt|mOFSZ9wv?cQh`aNilK{3$TAwmDPywv0ZqA5Tv(t<@xL8oi899&i zLvqqcRp?Le`AaohqMOnyeWYK(!dav$O{&u;-RPXE z8AE`Ipw&N{^ zQmLE!z1F9)WT_7(lq!e)E!v=KK)cc!R`^?eld!oe&nqjJ4BYDc_jWOhnh)DoWO7pv z7+25DlrQ)*MW`xAKUDUNjcaE1c9BJFg=U0aTSAZc=Xr~iFDF)m@!+^o=N$G)%n@Ks zHdBB~h+Dfdn(DbI)3H89PhnH*1y}5_Rg131GlZPUgHEHIrrw}T_J*rze~)3!?`{11KwHkC3xP43e7ZH$H2Q#KAh?7Ye5qePd387qJNCI&e)A1n`w+I zppS!vO&5P2WkOUQS1&x6Xs}FPfc#ppiu=jT;I1}zBF)xyB}snHUYVAgnH#9)5WeR3 zLBMK_F^c^Cjv1wrl^or=97xHh-k)2w>1}D#6+5e3(5XG^PqGN#QqGwNW`AbQx+9wn zXZdG$n#DuYzitUa+{4%J=TR2tp9UW1!^1n8lkLYBBqJKJov@{LNRfNUZ^oqg-lX$siOn(KTR5f_yD#j!ptaR9+Nu35uTnTIc>p3zpt;( z?n?1kRwRUattK12e0H(8)UDIH;LX#DfKD89n4)fonkHi5Ilg3QBClAk3YjYQ&P(Dm zpLTU=wcyv~ny%7Dw#H^4DG$I!QC7w7J^;qDuyrgEWi!rxeBjA^LFNj|GGXRzIhLu? zK7C)D$heyk_rxo-OQkfiiC`;oAyWCA^_XAwW>&88WTLo?*yb6_d0K~ZaH&GPWLd0C zzAQ{D)|RYU%=gUK*s9G}=K#!1`hvTco^bB@)tz2fp;g4JK_MSPc$Xm&i@KAlkw1>6w?u+ubX_&`8jp4SMr{{R@ z8C*(`Y1@n`un}vA)lJ4zHPT>oHb3>uGl8<}R6MsrL(;)=%JZJ#a=mcq_VcbUQi*bX zh43dC?oP2Ziy!fC@TO8re2X=t{I(?yO=>=XiM!eu3Z+`4WFwrSl4g8LbSKuXv?iQX zPFXxB*q@guFi9#rZ2eyG&i~%xv-x*D&Chqy_RBku0816$S1Fz6S8TDrEK8*@K^a%E z6F#ElT|C6Ezh@m^+XnivW1plEjj?fML7XzvKEbG(RIUht+G&L6K(#`i9~4I#W3Y50 zhA!6f^U`*2btA<9vRUMj?H&pX=J@35;77i$^%)aFP{v6%Z-UT!s%v+PF3U);*^N|J z4ZxI)e~1kZ;G>@EH^X19BA}`LT}H)9AB}ykI>;t^e}aksSr}{1j4#JMQH|e~=FROs zanzYl$k1d%g3>z7g1?tM(8zKK5(MITG_C=*F?~I%?b{T+cCjRUzOs*f8pcCC**7@L5J}iJxvqQZ4fDzNljcsP?JYKEx-j8gTcdUcH1Zv6qPjFL7 z8WIk#mQVvh`~q2Am2qG?5JQs%@2{?815XjUHs@jl=O&&EU4=JrpwZ-9G;0OT8gltP z8T~BSM*!3_BL+`;fiwLF>1XlK{LAAgUiY>z*>m=_VE?ydl_|^N>*j^`6f3CDR+a39 zSD>TJ%bw3@(ThdDGDkaCvGXGng9bz0M)YP(I80L>eDDt`r-T*|54EvcOf4KogC8C{ zz1e~#jpoerQ`t_WPPH!xjLYbtI*vTvE7Zqj2jkVM-_QrHUnT)WS~JL>X3ny7epV|G z^rMB3tdSl;+ptQ-wq2{N^kBnaejTNawk|BDouU8P>N(b#gb zVn1M1Wp)c8i=1N{`5D;w!AI?f@8uuP6mj$QOUa@$nSEe)I1e_`)#G)_I^o%8*~4Jp zJCrUD<>Zlm>=UAJqo5l@TtqADfquY~#-oXz`xO?%~wz zMBQ+hHam3H%Wv9X)4tta67HQc^#+~mZ>Jwtr7-@A)W3JF`$R0p>f?#5zYP%A^?ub&Ld}OIYYzRV zWm^h915yauF2mr*@lJ-gKgS*~52dG|C_;o#TOU-oK#1K3=yvrqcX1}`XeJshX%{Xk zqiTJ_EZC~YovOmr;Y?-4nM$0ou@#z4olfb_d)WGorqU&Ws%I>P+Ie$g)OLKtSCVU% z9@7e~)Zt8D%}1rXUU{*UOm$hxi5p=xAcx3(o}x@~yzqP6j$6D^y+~FQv)p#E-3iIt zZYF~oooDv%I|bquj4~gk{dE^!+tb zYM>^=)PVka-e3fX%G7DgAnU%np5Z8VC@Nh~Kb}G<mEuqiwm7{_v%~w`vC}6&D+@ zchTKZmHJ=Of>#<7EYMlsotcJSLUD}1Zc2!${5ps_maxKmwTBi}Qp!p{W= zvofE~AK2PRwcVGXlUeq1Fgg{vA(+UV9yQohR0;Hty`)npl6{4HPlD8$2=gh$(57#6 z*W^)=G&;sjQJ;I64jq0uM^piM zVQiIXn|>nWyM)tXG8wprA%-0rS|+@?UFw9;Q-EQI`0FQ)IHUIX;&0c4413&K!1bep zM{J8z%~BP?YY+FO0>1mJLTTTx^u)Zq7Sj*&H<2VpP zV%9ta3Ob2kFf+WE7$Mx`w0l^bcKWk2So|6fWjHj-go-dEVl{|i8-|+Oq~0U9Pg_WB*Tph31aGQ+>85YJCWBkebTg!dcPUhl-{D4XEOAPGalEJeJUn%c zLfpfN*Q@~$e608bZ|~J-`nK2F9lHLg%13*T2sy65k}O;rpJs*5{+TPoi;)&nWZyO= zTSZ|V>x;~B-PIp`0=xMr>%0g;V{6nsnp2IRyC!!n%W0hj^AGP z+7WXld4(Om=csu}d=owE4l28c!taKMCPZIJT^eFTa8d&enhm8*$eLUsID7=ZaWB@w z6Yg6;pR_izztv1@A8HV0!sm9Ks4ZfCAV|}jf>g~l5dN&2_-Z4dz9nkm5eL( zbZ7X9`|bVL?t~RY&QxHLM*7n?fnvgEzIj}J-Uy4Ly6J@C)?q1KGF|&}`L&naInhdA zsAklq+{Bs2Ys9B%PNPPXl#Fz2{P?sVNFA6kqP<7iA66HfL$aIK zqM`O&3=ZUtcTPFD2)dH(e|gYaJm1CtZhkkE-M773j~eia%VA-_p^^y5noP`xO_Mt< zv(ECHsE7cHrGiyM)+7bs*v2-*oi_0ecmQM_YH#STQ7JsNbZHsdjicEk-?R7-!RT*r zDVbl26m&ZOddiVs8ekc`O=a|EfTEIJmDx(P>q+5k;D^~7l}m%?hJYf4z)0QXZumtuY=p4`@+|tPL$2-Za^3_YnZ?on=;}Aa*f{YLvzu!-O z(}xcS32&4s!SF?9LTRpOUb}E=9%?zRB|aTiYiT8Y_bD@I;^3e9i%=Y=POFg4 z(U4BUkWMk#1?TL&XW8Y?tR>{E`REvh-gd&XL60C({AAKEAtb}a=}_Jr_H3uvq0`(U z6aYd;6n!RZmNCRY_2^TvY4!53MH<;kt_6|sByKq<%^#p69gp0X{3^xqeCF-b%TlHyr*9Kp!EyuCJ3sX%@7n>Ssd81KkoTl*jF7LduCcz*YSA({dc;rLJ}`GXRhmq(*1k0NYS z#`Z?@;8Y>08)el>uYWx%Q_^~cpN=T*wkAoFSbE4!bWJN_afknvla>Js>F3wFEMFiGZ@Ou+WC~ji(eH)pekcak~Gm52K<@Zx_d_s&_jAoJLXu zPZ}jSx~-}!eKG`R>{rgr1NZH0x|yWl&kb1z;~7oJCDi2Ja7hhj_$>Aeu6SxPPOxTJ zsXgOdvSIA2G`Hk%#70A$NT1to_{WiCAP1+ zsdX}&E=8=Yoyv#61@_ukyJEF%R?8HbxP9;+bFD72D$!O79Qu~Oh6A6*zW^Q->8;$6 zbWznZ*iJK1sdNrgs3Q9c>zwm?EP?r}DV;XVXC#6DXjrT*g;0Tzd{ELw*WhRO-V$y4 zwwuAG;nG5^Q~922x$}?l?g%sHlB_n)HA7n0QW8vm^{Jm=r=IU+0zV_uD|hDx8GZSD zT)4PUj{ejT&CL6jv@k+9QvN{{@$izyGGB?U^Ad%fGp=jFc$}f!>YWrimVM*uaS57N zQU&^*s&g6#ag=pewQ_oUBczN1#@TZRq4#c>cEb#w3ucjs_(j^xfNmV&9$JTeCgjiW z722$W%JaT>wT?a1e?jYQHNq)O{_R*Sy-ojUs&il(B^HNxrpd>-RrtvlQTK6g^~50| zuZH3K0Znd9zJ7>xRat`Yn3BqbaJ>8>QGDLK-bTLa1aV75XGG{@3FBaOK6MSV!B*-% zl;vR`-3LSCyuKg0Z!{N_(?*P6Ds9F-ioDsyeST))=|(rMc5nXOxtZP;dtCZOz%kAi z{wtDKj}ql^@lBM9h|u37%0@waMUUEAf4|&_n7F~dhW#EVC$X?McX4&LFtPhr)4}u& zISE7v00jK2B_SdB!qd?LAgJhI?<#NMV&-h)=<4A7Uwu^*JIsi@g0_OLIwx3G#@WUM zjv0ZQSh)a%zyLudR};96nT)*^+yW!-Um|2>9XtT~{7|ScfL{nK3;+XxKmZ5`0U7`V zWn9cG>|Ft3Kw*qfjH-V+{9q79kerF*Qwtj_Ygd3UX1bu3tA(BRKN97XNY0EJ@ee;2^NT7Z8>Fa-n_g$iSs|0w|b z|4RdbfTFi(|BQn~{&OBsNK6O>{7)K42n2zG{*wk2781S93j#yMgfVXXQ~s?N0}%AK z4yZ5$h*_Qgmmep~TL(}~7<$`gpqQwT*k3wC|C)!9_m>V3 z81&z)IT#a%x3Lly{tHtuSmd_9AW@9bfAK;^Z{r6BW1{2sJTV~nHdbJWnCM@)fFY3E zI=~_j@Lx1x&|m8d7QyVeTYAAFV90HM!J;Cfw|xVP2#fs1D<%fMwMJk}>_Tp1B?bZi z<*yJB3cS6RLLeycwq7wIF_GIbE+PaJzD*MX1EIGu5W+Zj8$S`SDC9N`A}S2IjlGZ% zW@p~QN(ch_YyUz-h5p)?LXiJvPeUN0f7vVyg#3kpFzBy%hKNFiZex#;2EHv%1QS-b zZ59y%|K*z)1cUx9-!QcQKXzT6O)v@7nVbakAkeb$vcM!yOkTIQbO8K==s!tUNgkjt z0l|cdyqt`jjF6lRSOFq0D=RE73&Qvh5)qY?RRl``{^u!}CxE7dgDc>l@c(!2c5yXv a#@sXWPvXXel!!2doaFv}1vN!-lK%r#KiG)? literal 0 HcmV?d00001 diff --git a/DC/~DC.kicad_pcb.lck b/DC/~DC.kicad_pcb.lck new file mode 100644 index 0000000..263e10d --- /dev/null +++ b/DC/~DC.kicad_pcb.lck @@ -0,0 +1 @@ +{"hostname":"E14G2","username":"k"} \ No newline at end of file